• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 7
  • 2
  • 2
  • 2
  • 2
  • Tagged with
  • 17
  • 8
  • 6
  • 6
  • 5
  • 5
  • 4
  • 4
  • 4
  • 4
  • 4
  • 3
  • 3
  • 3
  • 3
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Investigation of the Fundamental Reliability Unit for Cu Dual-Damascene Metallization

Gan, C.L., Thompson, Carl V., Pey, Kin Leong, Choi, Wee Kiong, Wei, F., Hau-Riege, S.P., Augur, R., Tay, H.L., Yu, B., Radhakrishnan, M.K. 01 1900 (has links)
An investigation has been carried out to determine the fundamental reliability unit of copper dual-damascene metallization. Electromigration experiments have been carried out on straight via-to-via interconnects in the lower metal (M1) and the upper metal (M2), and in a simple interconnect tree structure consisting of straight via-to-via line with an extra via in the middle of the line (a "dotted-I"). Multiple failure mechanisms have been observed during electromigration testing of via-to-via Cu interconnects. The failure times of the M2 test structures are significantly longer than that of identical M1 structures. It is proposed that this asymmetry is the result of a difference in the location of void formation and growth, which is believed to be related to the ease of electromigration-induced void nucleation and growth at the Cu/Si₃N₄ interface. However, voids were also detected in the vias instead of in the Cu lines for some cases of early failure of the test lines. These early failures are suspected to be related to the integrity and reliability of the Cu via. Different magnitudes and directions of electrical current were applied independently in two segments of the interconnect tree structure. As with Al-based interconnects, the reliability of a segment in this tree strongly depends on the stress conditions of the connected segment. Beyond this, there are important differences in the results obtained under similar test conditions for Al-based and Cu-based interconnect trees. These differences are thought to be associated with variations in the architectural schemes of the two metallizations. The absence of a conducting electromigration-resistant overlayer in Cu technology allows smaller voids to cause failure in Cu compared to Al. Moreover, the Si₃N₄ overlayer that serves as an interlevel diffusion barrier provides sites for easy nucleation of voids and also provides a high diffusivity path for electromigration. The results reported here suggest that while segments are not the fundamental reliability unit for circuit-level reliability assessments for Al or Cu, vias, rather than trees, might be the appropriate fundamental units for the assessment of Cu reliability. / Singapore-MIT Alliance (SMA)
2

Reliability of Multi-Terminal Copper Dual-Damascene Interconnect Trees

Gan, C.L., Thompson, Carl V., Pey, Kin Leong, Choi, Wee Kiong 01 1900 (has links)
Electromigration tests on different Cu dual-damascene interconnect tree structures consisting of various numbers of straight via-to-via lines connected at the common middle terminal have been carried out. Like Al-based interconnects, the reliability of a segment in a Cu-based interconnect tree strongly depends on the stress conditions of connected segments. The analytic model based on a nodal analysis developed for Al trees gives a conservative estimate of the lifetime of Cu-based interconnect trees. However, there are important differences in the results obtained under similar test conditions for Al-based and Cu-based interconnect trees. These differences are attributed to the variations in the architectural schemes of the two metallization systems. The absence of a conducting electromigration-resistant overlayer in Cu technology and the low critical stress for void nucleation at the Cu/inter-level diffusion barrier (i.e. Si₃N₄) interface leads to different failure modes between Cu and Al interconnects. As a result, the most highly stressed segment in a Cu-based interconnect tree is not always the least reliable. Moreover, the possibility of liner rupture at stressed dual-damascene vias leads to significant differences in tree reliabilities in Cu compared to Al. While an interconnect tree can be treated as a fundamental unit whose reliability is independent of that of other units in Al-based interconnect architectures, interconnect trees can not be treated as fundamental units for circuit-level reliability analyses for Cu-based interconnects. / Singapore-MIT Alliance (SMA)
3

An in situ Surface Stress Study of Electrochemical Phenomena: Electrodeposition and Molecular Adsorption

January 2011 (has links)
abstract: Over the last decade copper electrodeposition has become the dominant process by which microelectronic interconnects are made. Replacing ultra-high vacuum evaporative film growth, the technology known as the Cu damascene process has been widely implemented in the microelectronics industry since the early 2000s. The transition from vacuum film growth to electrodeposition was enabled by solution chemistries that provide "bottom-up" or superfilling capability of vias and trenches. While the process has been and is used widely, the actual mechanisms responsible for superfilling remain relatively unknown. This dissertation presents and discusses the background and results of experimental investigations that have been done using in situ electrochemical surface stress monitoring techniques to study the evolution of stress on Cu{111} thin film electrodes. Because of its extreme sensitivity to the structure on both the electrode and solution sides of the interface, surface stress monitoring as analytical technique is well suited for the study of electrodeposition. These ultra-high resolution stress measurements reveal the dynamic response of copper electrodes to a number of electrochemical and chemical experimental variables. In the case of constant current pulsed deposition and stripping, the surface stress evolution depends not only on the magnitude of the current pulse, but also shows a marked response to plating bath composition. The plating bath chemistries used in this work include (1) additive free, (2) deposition suppressing solutions that include polyethylene glycol (PEG) and sodium chloride (NaCl) as well as (3) full additive solution combinations which contain PEG, NaCl, and a one of two deposition accelerating species (bis-(sodiumsulfopropyl)disulfide (SPS) or mercaptopropane sulfonic acid (MPS)). The development of thin film stress is further investigated through a series of solution exchange experiments that correlate the magnitude of electrode exchange current density and the stress state of the film. Remarkably, stress changes as large as ~8.5 N/m are observed during solution exchanges at the open circuit potential. Overall, this research demonstrates that solution chemistry can have a large impact on thin film stress evolution, even for very small deposition thicknesses (e.g. <10 ML) or in the absence of net addition or removal of material from the electrode. / Dissertation/Thesis / Ph.D. Materials Science and Engineering 2011
4

Espada de Damasco: um produto da civilização islâmica. / Damascus sword: a product of the islamic civilization.

Slaughter, Christian 16 April 2014 (has links)
A Espada de Damasco era uma arma utilizada pelos exércitos muçulmanos medievais. Está de tal forma associada à civilização islâmica que se tornou um de seus símbolos. Esta espada se caracterizava tanto por suas ótimas propriedades mecânicas como por seus belos desenhos ondulados. Estes atributos, somados à sua mística, a fizeram objeto de imitação e cobiça no Ocidente. Entre os séc. VIII e XIII d.C. o mundo muçulmano viveu seu apogeu como civilização, compilando, traduzindo, analisando e desenvolvendo um enorme corpo de conhecimento, em diversas áreas, trazido das grandes civilizações com as quais interagiu. No campo militar, as invasões dos povos nômades da Ásia Central trouxeram inovações, sendo claramente responsáveis pela introdução do sabre. O Império muçulmano medieval reuniu, assim, as condições necessárias para o advento da Espada de Damasco, principalmente por ter absorvido de outros povos, tanto a oeste, como a leste, uma série de elementos e influências fundamentais para a concepção desta arma: seu formato, o sabre, foi trazido pelos povos turcomanos nômades, e a tecnologia do aço de cadinho, sua matéria prima principal, era proveniente do Oriente; da Índia e da Ásia Central. Este trabalho se propõe a discutir de que forma a lendária Espada de Damasco pode ser entendida como um produto único da civilização islâmica medieval. Para alcançar este propósito, duas das principais características desta arma serão discutidas, seu formato como sabre, e sua matéria prima, o aço de cadinho. Finalmente, a etapa experimental realizada nos permite avaliar sob nossa própria perspectiva as duas principais hipóteses para explicar a formação do padrão de damasco, propostas por Verhoeven e Sherby. Além disso, as tentativas de reprodução do aço de cadinho nos levam a propor a hipótese do ferro fundido, invenção sabidamente chinesa, ter sido descoberto de forma acidental ao tentar produzir aço de cadinho. / The Damascus sword was the weapon of choice of the Muslim medieval armies. It is so closely related to the Islamic civilization that it became one of its symbols. This saber was known by its great mechanical properties, as much as its pleas-ant wavy pattern. All these attributes, added to its mystical meaning, turned it into an object to be reproduced and desired by the West. Between the 9th and 13th centuries AD, the Muslim society experienced its height as civilization, compiling, translating, analyzing and developing a wide body of knowledge of many fields, imported from the main civilizations with whom it coexist-ed. On military matters, nomadic peoples from central Asia invaded the Islamic world, bringing innovations with them, such as the saber. The Muslim medieval Empire pro-vided suitable conditions to allow the Damascus sword to be created. Specially, be-cause this civilization assimilated several influences from East and West, essential to the development of this weapon: its shape as saber was taken from the nomadic Turkic peoples, the technology of the crucible steel came from the East; Central Asia and India. This thesis discusses how the legendary Damascus sword may be understood as a unique product of the medieval Islamic civilization. Two of the most important features of this weapon will be discussed in order to reach our purpose: its design as a saber, and its raw material, the crucible steel. Finally, the experimental part allow us to assay, through our own perspective, the two main hypothesis what explain the origin of the Damascus pattern, suggested by Verhoeven and Sherby. Moreover, the different attempts to reproduce the UHC crucible steel lead us to formulate a hypothesis on the origin of the cast iron, a known Chinese invention, which could have been discovered by accident while trying to produce crucible steel.
5

Espada de Damasco: um produto da civilização islâmica. / Damascus sword: a product of the islamic civilization.

Christian Slaughter 16 April 2014 (has links)
A Espada de Damasco era uma arma utilizada pelos exércitos muçulmanos medievais. Está de tal forma associada à civilização islâmica que se tornou um de seus símbolos. Esta espada se caracterizava tanto por suas ótimas propriedades mecânicas como por seus belos desenhos ondulados. Estes atributos, somados à sua mística, a fizeram objeto de imitação e cobiça no Ocidente. Entre os séc. VIII e XIII d.C. o mundo muçulmano viveu seu apogeu como civilização, compilando, traduzindo, analisando e desenvolvendo um enorme corpo de conhecimento, em diversas áreas, trazido das grandes civilizações com as quais interagiu. No campo militar, as invasões dos povos nômades da Ásia Central trouxeram inovações, sendo claramente responsáveis pela introdução do sabre. O Império muçulmano medieval reuniu, assim, as condições necessárias para o advento da Espada de Damasco, principalmente por ter absorvido de outros povos, tanto a oeste, como a leste, uma série de elementos e influências fundamentais para a concepção desta arma: seu formato, o sabre, foi trazido pelos povos turcomanos nômades, e a tecnologia do aço de cadinho, sua matéria prima principal, era proveniente do Oriente; da Índia e da Ásia Central. Este trabalho se propõe a discutir de que forma a lendária Espada de Damasco pode ser entendida como um produto único da civilização islâmica medieval. Para alcançar este propósito, duas das principais características desta arma serão discutidas, seu formato como sabre, e sua matéria prima, o aço de cadinho. Finalmente, a etapa experimental realizada nos permite avaliar sob nossa própria perspectiva as duas principais hipóteses para explicar a formação do padrão de damasco, propostas por Verhoeven e Sherby. Além disso, as tentativas de reprodução do aço de cadinho nos levam a propor a hipótese do ferro fundido, invenção sabidamente chinesa, ter sido descoberto de forma acidental ao tentar produzir aço de cadinho. / The Damascus sword was the weapon of choice of the Muslim medieval armies. It is so closely related to the Islamic civilization that it became one of its symbols. This saber was known by its great mechanical properties, as much as its pleas-ant wavy pattern. All these attributes, added to its mystical meaning, turned it into an object to be reproduced and desired by the West. Between the 9th and 13th centuries AD, the Muslim society experienced its height as civilization, compiling, translating, analyzing and developing a wide body of knowledge of many fields, imported from the main civilizations with whom it coexist-ed. On military matters, nomadic peoples from central Asia invaded the Islamic world, bringing innovations with them, such as the saber. The Muslim medieval Empire pro-vided suitable conditions to allow the Damascus sword to be created. Specially, be-cause this civilization assimilated several influences from East and West, essential to the development of this weapon: its shape as saber was taken from the nomadic Turkic peoples, the technology of the crucible steel came from the East; Central Asia and India. This thesis discusses how the legendary Damascus sword may be understood as a unique product of the medieval Islamic civilization. Two of the most important features of this weapon will be discussed in order to reach our purpose: its design as a saber, and its raw material, the crucible steel. Finally, the experimental part allow us to assay, through our own perspective, the two main hypothesis what explain the origin of the Damascus pattern, suggested by Verhoeven and Sherby. Moreover, the different attempts to reproduce the UHC crucible steel lead us to formulate a hypothesis on the origin of the cast iron, a known Chinese invention, which could have been discovered by accident while trying to produce crucible steel.
6

Processing, Reliability And Integration Issues In Chemical Mechanical Planarization

Zantye, Parshuram B 15 July 2005 (has links)
Global planarization is one of the major demands of the semiconductor industry. Chemical mechanical polishing (CMP) is the planarization method of choice use to achieve the required stringent tolerances essential for successful fabrication of next generation Integrated Circuits (IC). The predominant reason for CMP defects is the shear and normal stresses during polishing to which the material is subjected. Understanding the process of CMP and factor that contribute to overall stress addition during polishing requires an approach that encompasses all the four major categories of variables, namely: a) machine parameters, b) material properties, c) polishing pad characteristics, and d) polishing slurry performance. In this research, we studied the utilized in-situ technique involving acoustic emission (AE) signal monitoring and coefficient of friction (COF) monitoring using a CETRTM Bench Top CMP Tester to evaluate the impact of variation in machine parameters on the CMP process. The mechanical and tribological properties of different candidate materials have been evaluated bring potential challenges in their integration to the fore. The study also involves destructive and non destructive testing of polishing pads performed for characterization and optimization of polishing pad architecture. Finally, the investigation concludes proposing novel nanoparticle CMP slurry which has a predominant chemical component in its polishing mechanism. It was found that the decrease in the mechanical shear and normal loading by: a) operating the process in the low stress regime, b) using potential materials that are mechanically stronger, c) using polishing pads with lesser variation in specific gravity and with a surface that is has its mechanical properties fine tuned to those of the wafer, and d) deploying polishing slurry with a significant chemical component mechanical removal, are some of the approaches that can be employed to meet the future challenges of the CMP process and reduce the defect associated with it.
7

The Influence of Adjacent Segment on the Reliability of Cu Dual Damascene Interconnects

Chang, Choon Wai, Choi, Z.-S., Thompson, Carl V., Gan, C.L., Pey, Kin Leong, Choi, Wee Kiong, Hwang, N. 01 1900 (has links)
Three terminal ‘dotted-I’ interconnect structures, with vias at both ends and an additional via in the middle, were tested under various test conditions. Mortalities (failures) were found in right segments with jL value as low as 1250 A/cm, and the mortality of a dotted-I segment is dependent on the direction and magnitude of the current in the adjacent segment. Some mortalities were also found in the right segments under a test condition where no failure was expected. Cu extrusion along the delaminated Cu/Si₃N₄ interface near the central via region was believed to cause the unexpected failures. From the time-to-failure (TTF), it is possible to quantify the Cu/Si₃N₄ interfacial strength and bonding energy. Hence, the demonstrated test methodology can be used to investigate the integrity of the Cu dual damascene processes. As conventionally determined critical jL values in two-terminal via-terminated lines cannot be directly applied to interconnects with branched segments, this also serves as a good methodology to identify the critical effective jL values for immortality. / Singapore-MIT Alliance (SMA)
8

Damasquinado: The Metalworking that Helped Shape Contemporary Spain

Black, Rachel D. January 2021 (has links)
No description available.
9

Fabrication of Micropolarizer and Narrow Band-Pass Pixel Filters for Focal Plane Array

Watson, Alexander M. January 2011 (has links)
No description available.
10

Electromigration enhanced kinetics of Cu-Sn intermetallic compounds in Pb free solder joints and Cu low-k dual damascene processing using step and flash imprint lithography

Chao, Huang-Lin 02 June 2010 (has links)
This dissertation constitutes two major sections. In the first major section, a kinetic analysis was established to investigate the electromigration (EM), enhanced intermetallic compound (IMC) growth and void formation for Sn-based Pb-free solder joints to Cu under bump metallization (UBM). The model takes into account the interfacial intermetallic reaction, Cu-Sn interdiffusion, and current stressing. A new approach was developed to derive atomic diffusivities and effective charge numbers based on Simulated Annealing (SA) in conjunction with the kinetic model. The finite difference (FD) kinetic model based on this approach accurately predicted the intermetallic compound growth when compared to empirical observation. The ultimate electromigration failure of the solder joints was caused by extensive void formation at the intermetallic interface. The void formation mechanism was analyzed by modeling the vacancy transport under electromigration. The effects of current density and Cu diffusivity in Sn solder were also investigated with the kinetic model. The second major section describes the integration of Step and Flash Imprint Lithography (S-FIL®) into an industry standard Cu/low-k dual damascene process. The yield on a Back End Of the Line (BEOL) test vehicle that contains standard test structures such as via chains with 120 nm vias was established by electrical tests. S-FIL shows promise as a cost effective solution to patterning sub 45 nm features and is capable of simultaneously patterning two levels of interconnect structures, which provides a low cost BEOL process. The critical processing step in the integration is the reactive ion etching (RIE) process that transfers the multilevel patterns to the inter-level dielectrics (ILD). An in-situ, multistep etch process was developed that gives excellent pattern structures in two industry standard Chemical Vapor Deposited (CVD) low-k dielectrics. The etch process showed excellent pattern fidelity and a wide process window. Electrical testing was conducted on the test vehicle to show that this process renders high yield and consistent via resistance. Discussions of the failure behaviors that are characteristic to the use of S-FIL are provided. / text

Page generated in 0.0412 seconds