• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 11
  • 2
  • 1
  • Tagged with
  • 16
  • 14
  • 7
  • 7
  • 5
  • 5
  • 4
  • 4
  • 4
  • 4
  • 3
  • 3
  • 3
  • 3
  • 3
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
11

Sandalias de bandas intercambiables: Balance Heels / Sandals with interchangeable strips: Balance Heels

Folco Quispe, Alondra Mirella, Hyldebre Mendoza, Roberto Carlo, Parvina Lucas, Marjorie Pierina, Quispe León, Nicolle Stephany, Rivera Lazarte, Marcelo Sebastian 25 November 2019 (has links)
El siguiente proyecto se basa en la producción y comercialización de sandalias para mujeres entre las edades de 20 a 35 años del nivel socioeconómico B y C de las zonas 4 y 6 de Lima Metropolitana. Se realizaron encuestas y entrevistas con el fin de conocer los gustos y preferencias de las usuarias, así como también conocer sus experiencias de compra y uso de sandalias para poder identificar los principales problemas que afrontan con respecto al uso de calzados. Luego de la validación de este problema se pudo plantear una solución: las sandalias Balance Heels. Las sandalias cuentan con bandas, la cuales pueden ser desplegadas de su base para ser intercambiadas por otra de modelo diferente. Dichas bandas cuentan con un broche en la parte delantera y a los costados, los cuales facilitan el intercambio de estas con diversos diseños de modo más práctico. El desarrollo de nuestro proyecto está dividido en dos partes. En la primera se validó el modelo de negocio por medio de métodos de investigación cualitativa primaria (entrevistas y focus group). La segunda parte fue el desarrollo del plan de negocio, para el cual se requiere una inversión de 64,379.27 soles. / The following project is based in the production and commercialization of sandals for women between the ages of 20 and 35, with socioeconomic statuses B and C living in the zones 4 and 6 of Lima Metropolitana. Surveys and interviews were made in order to know the tastes and preferences of users, in addition to recognize their shopping habits, the usage of sandals, and experiences related. This could help us find out the main problems that they may have about the usage of sandals. After the validation of this problem we were able to propose a solution: a pair of Balance Heels sandals. These sandals have strips which can be deployed from its base to be exchanged to another different model. These bands have a clasp on the front and the sides, which facilitate the exchange of these with various designs in a more practical way. The development of our project is divided in two parts. In the first part, the business model was validated through primary qualitative information methods (interviews and focus group). The second part was the development of the business plan, which requires an investment of 64,379.27 soles. / Trabajo de investigación
12

Extraction Based Verification Method For Off The Shelf Integrated Circuits

Nagubadi, Vivek 30 July 2010 (has links)
No description available.
13

Flip-flops ópticos basados en interferómetros Mach-Zehnder activos con realimentación

Clavero Galindo, Raquel 07 May 2008 (has links)
El constante aumento de la capacidad de transmisión de la fibra óptica ha provocado que se estén llevando a cabo numerosos estudios centrados en el procesado óptico de la información digital a alta velocidad. Para poder realizar complejas operaciones de procesado óptico se requiere una memoria óptica de bajo consumo, alta velocidad y que sea integrable. Puesto que no existe el equivalente de las memorias RAM en el domino óptico, surge la necesidad de implementar dispositivos capaces de almacenar información durante un periodo de tiempo indeterminado. Una de las soluciones más atractivas para la implementación de estos sistemas de almacenamiento es el flip-flop óptico. Este dispositivo puede trabajar en dos estados de funcionamiento entre los que se conmuta empleando señales ópticas de control pulsadas. Entre todas las tecnologías utilizadas en el procesado óptico de la señal destaca el interferómetro Mach-Zehnder basado en el amplificador óptico de semiconductor (SOA-MZI) por su versatilidad y posibilidad de integración. En esta tesis se propone una arquitectura para implementar un flip-flop óptico basada en un SOA-MZI con un bucle de realimentación. Este dispositivo presenta un comportamiento biestable bajo determinadas condiciones. Sus principales ventajas son una menor complejidad (menor consumo de potencia), velocidad de conmutación y capacidad de integración. Asimismo, se ha desarrollado un modelo teórico para el SOA-MZI con realimentación a partir de las ecuaciones básicas que gobiernan el comportamiento del SOA. Este modelo ha permitido estudiar las características estáticas y dinámicas del sistema. Finalmente, se han propuesto dos nuevas aplicaciones para la arquitectura del SOA-MZI con realimentación. La primera de ellas consiste en un conmutador espacial 1x2 controlado ópticamente. Es la primera vez que se presenta una configuración que implemente esta funcionalidad en un único bloque. En segundo lugar se propone utilizar el flip-flop junto con una puerta / Clavero Galindo, R. (2007). Flip-flops ópticos basados en interferómetros Mach-Zehnder activos con realimentación [Tesis doctoral no publicada]. Universitat Politècnica de València. https://doi.org/10.4995/Thesis/10251/1958 / Palancia
14

Defect-Mediated Trafficking across Cell Membranes: Insights from in Silico Modeling

Gurtovenko, Andrey A., Anwar, Jamshed, Vattulainen, I. January 2010 (has links)
No / Review article. No abstract.
15

Robust Design of Variation-Sensitive Digital Circuits

Moustafa, Hassan January 2011 (has links)
The nano-age has already begun, where typical feature dimensions are smaller than 100nm. The operating frequency is expected to increase up to 12 GHz, and a single chip will contain over 12 billion transistors in 2020, as given by the International Technology Roadmap for Semiconductors (ITRS) initiative. ITRS also predicts that the scaling of CMOS devices and process technology, as it is known today, will become much more difficult as the industry advances towards the 16nm technology node and further. This aggressive scaling of CMOS technology has pushed the devices to their physical limits. Design goals are governed by several factors other than power, performance and area such as process variations, radiation induced soft errors, and aging degradation mechanisms. These new design challenges have a strong impact on the parametric yield of nanometer digital circuits and also result in functional yield losses in variation-sensitive digital circuits such as Static Random Access Memory (SRAM) and flip-flops. Moreover, sub-threshold SRAM and flip-flops circuits, which are aggravated by the strong demand for lower power consumption, show larger sensitivity to these challenges which reduces their robustness and yield. Accordingly, it is not surprising that the ITRS considers variability and reliability as the most challenging obstacles for nanometer digital circuits robust design. Soft errors are considered one of the main reliability and robustness concerns in SRAM arrays in sub-100nm technologies due to low operating voltage, small node capacitance, and high packing density. The SRAM arrays soft errors immunity is also affected by process variations. We develop statistical design-oriented soft errors immunity variations models for super-threshold and sub-threshold SRAM cells accounting for die-to-die variations and within-die variations. This work provides new design insights and highlights the important design knobs that can be used to reduce the SRAM cells soft errors immunity variations. The developed models are scalable, bias dependent, and only require the knowledge of easily measurable parameters. This makes them useful in early design exploration, circuit optimization as well as technology prediction. The derived models are verified using Monte Carlo SPICE simulations, referring to an industrial hardware-calibrated 65nm CMOS technology. The demand for higher performance leads to very deep pipelining which means that hundreds of thousands of flip-flops are required to control the data flow under strict timing constraints. A violation of the timing constraints at a flip-flop can result in latching incorrect data causing the overall system to malfunction. In addition, the flip-flops power dissipation represents a considerable fraction of the total power dissipation. Sub-threshold flip-flops are considered the most energy efficient solution for low power applications in which, performance is of secondary importance. Accordingly, statistical gate sizing is conducted to different flip-flops topologies for timing yield improvement of super-threshold flip-flops and power yield improvement of sub-threshold flip-flops. Following that, a comparative analysis between these flip-flops topologies considering the required overhead for yield improvement is performed. This comparative analysis provides useful recommendations that help flip-flops designers on selecting the best flip-flops topology that satisfies their system specifications while taking the process variations impact and robustness requirements into account. Adaptive Body Bias (ABB) allows the tuning of the transistor threshold voltage, Vt, by controlling the transistor body voltage. A forward body bias reduces Vt, increasing the device speed at the expense of increased leakage power. Alternatively, a reverse body bias increases Vt, reducing the leakage power but slowing the device. Therefore, the impact of process variations is mitigated by speeding up slow and less leaky devices or slowing down devices that are fast and highly leaky. Practically, the implementation of the ABB is desirable to bias each device in a design independently, to mitigate within-die variations. However, supplying so many separate voltages inside a die results in a large area overhead. On the other hand, using the same body bias for all devices on the same die limits its capability to compensate for within-die variations. Thus, the granularity level of the ABB scheme is a trade-off between the within-die variations compensation capability and the associated area overhead. This work introduces new ABB circuits that exhibit lower area overhead by a factor of 143X than that of previous ABB circuits. In addition, these ABB circuits are resolution free since no digital-to-analog converters or analog-to-digital converters are required on their implementations. These ABB circuits are adopted to high performance critical paths, emulating a real microprocessor architecture, for process variations compensation and also adopted to SRAM arrays, for Negative Bias Temperature Instability (NBTI) aging and process variations compensation. The effectiveness of the new ABB circuits is verified by post layout simulation results and test chip measurements using triple-well 65nm CMOS technology. The highly capacitive nodes of wide fan-in dynamic circuits and SRAM bitlines limit the performance of these circuits. In addition, process variations mitigation by statistical gate sizing increases this capacitance further and fails in achieving the target yield improvement. We propose new negative capacitance circuits that reduce the overall parasitic capacitance of these highly capacitive nodes. These negative capacitance circuits are adopted to wide fan-in dynamic circuits for timing yield improvement up to 99.87% and to SRAM arrays for read access yield improvement up to 100%. The area and power overheads of these new negative capacitance circuits are amortized over the large die area of the microprocessor and the SRAM array. The effectiveness of the new negative capacitance circuits is verified by post layout simulation results and test chip measurements using 65nm CMOS technology.
16

Robust Design of Variation-Sensitive Digital Circuits

Moustafa, Hassan January 2011 (has links)
The nano-age has already begun, where typical feature dimensions are smaller than 100nm. The operating frequency is expected to increase up to 12 GHz, and a single chip will contain over 12 billion transistors in 2020, as given by the International Technology Roadmap for Semiconductors (ITRS) initiative. ITRS also predicts that the scaling of CMOS devices and process technology, as it is known today, will become much more difficult as the industry advances towards the 16nm technology node and further. This aggressive scaling of CMOS technology has pushed the devices to their physical limits. Design goals are governed by several factors other than power, performance and area such as process variations, radiation induced soft errors, and aging degradation mechanisms. These new design challenges have a strong impact on the parametric yield of nanometer digital circuits and also result in functional yield losses in variation-sensitive digital circuits such as Static Random Access Memory (SRAM) and flip-flops. Moreover, sub-threshold SRAM and flip-flops circuits, which are aggravated by the strong demand for lower power consumption, show larger sensitivity to these challenges which reduces their robustness and yield. Accordingly, it is not surprising that the ITRS considers variability and reliability as the most challenging obstacles for nanometer digital circuits robust design. Soft errors are considered one of the main reliability and robustness concerns in SRAM arrays in sub-100nm technologies due to low operating voltage, small node capacitance, and high packing density. The SRAM arrays soft errors immunity is also affected by process variations. We develop statistical design-oriented soft errors immunity variations models for super-threshold and sub-threshold SRAM cells accounting for die-to-die variations and within-die variations. This work provides new design insights and highlights the important design knobs that can be used to reduce the SRAM cells soft errors immunity variations. The developed models are scalable, bias dependent, and only require the knowledge of easily measurable parameters. This makes them useful in early design exploration, circuit optimization as well as technology prediction. The derived models are verified using Monte Carlo SPICE simulations, referring to an industrial hardware-calibrated 65nm CMOS technology. The demand for higher performance leads to very deep pipelining which means that hundreds of thousands of flip-flops are required to control the data flow under strict timing constraints. A violation of the timing constraints at a flip-flop can result in latching incorrect data causing the overall system to malfunction. In addition, the flip-flops power dissipation represents a considerable fraction of the total power dissipation. Sub-threshold flip-flops are considered the most energy efficient solution for low power applications in which, performance is of secondary importance. Accordingly, statistical gate sizing is conducted to different flip-flops topologies for timing yield improvement of super-threshold flip-flops and power yield improvement of sub-threshold flip-flops. Following that, a comparative analysis between these flip-flops topologies considering the required overhead for yield improvement is performed. This comparative analysis provides useful recommendations that help flip-flops designers on selecting the best flip-flops topology that satisfies their system specifications while taking the process variations impact and robustness requirements into account. Adaptive Body Bias (ABB) allows the tuning of the transistor threshold voltage, Vt, by controlling the transistor body voltage. A forward body bias reduces Vt, increasing the device speed at the expense of increased leakage power. Alternatively, a reverse body bias increases Vt, reducing the leakage power but slowing the device. Therefore, the impact of process variations is mitigated by speeding up slow and less leaky devices or slowing down devices that are fast and highly leaky. Practically, the implementation of the ABB is desirable to bias each device in a design independently, to mitigate within-die variations. However, supplying so many separate voltages inside a die results in a large area overhead. On the other hand, using the same body bias for all devices on the same die limits its capability to compensate for within-die variations. Thus, the granularity level of the ABB scheme is a trade-off between the within-die variations compensation capability and the associated area overhead. This work introduces new ABB circuits that exhibit lower area overhead by a factor of 143X than that of previous ABB circuits. In addition, these ABB circuits are resolution free since no digital-to-analog converters or analog-to-digital converters are required on their implementations. These ABB circuits are adopted to high performance critical paths, emulating a real microprocessor architecture, for process variations compensation and also adopted to SRAM arrays, for Negative Bias Temperature Instability (NBTI) aging and process variations compensation. The effectiveness of the new ABB circuits is verified by post layout simulation results and test chip measurements using triple-well 65nm CMOS technology. The highly capacitive nodes of wide fan-in dynamic circuits and SRAM bitlines limit the performance of these circuits. In addition, process variations mitigation by statistical gate sizing increases this capacitance further and fails in achieving the target yield improvement. We propose new negative capacitance circuits that reduce the overall parasitic capacitance of these highly capacitive nodes. These negative capacitance circuits are adopted to wide fan-in dynamic circuits for timing yield improvement up to 99.87% and to SRAM arrays for read access yield improvement up to 100%. The area and power overheads of these new negative capacitance circuits are amortized over the large die area of the microprocessor and the SRAM array. The effectiveness of the new negative capacitance circuits is verified by post layout simulation results and test chip measurements using 65nm CMOS technology.

Page generated in 0.0372 seconds