• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 8
  • 2
  • 1
  • 1
  • Tagged with
  • 13
  • 13
  • 3
  • 3
  • 3
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Fabrications and Characteristics of Nonvolatile Memory Devices with Sn Nanocrystals Embedded in MIS Structure

Chen, Chao-Yu 26 June 2009 (has links)
Current requirements of nonvolatile memory (NVM) are the high density cells, low-power consumption, high-speed operation and good reliability for the scaling down devices. However, all of the charges stored in the floating gate will leak into the substrate if the tunnel oxide has a leakage path in the conventional NVMs. Therefore, the tunnel oxide thickness is difficult to scale down in terms of charge retention and endurance. The nanocrystal nonvolatile memories are one of promising substitution, because the discrete storage nodes as the charge storage media have been effectively improve data retention under endurance test for the scaling down device. Many methods have been developed recently for the formation of nanocrystals. Generally, most methods need thermal treatment with high temperature and long duration. This procedure will influence thermal budget and throughput in current manufacture technology of semiconductor industry. And supercritical carbon dioxide (SCCO2) has been researched to the passivation of dielectric and reducing the activation energy. The research estimates SCCO2 is potential to form nanocrystals for these reason. This research is to discuss the feasibility of fabricating nanocrystal NVMs device with low temperature SCCO2. The low melting point metal material Sn is used for the attempts. In order to check if Sn can be used for fabricating nanocrystal NVMs device, the research selects the conventional thermal annealing method first. It uses rapid thermal annealing to improve the crystalline of nanocrystals and reliability of the memory device. Compare to different Sn containment or chemistry and different process, analyze the electric characteristics and materials chemistry. At last, select the Sn and SiO2 co-sputtering film to try the SCCO2 process and analyze these characteristics as well. Due to the novel technology, many physical mechanism and improvement of properties will be discuss following.
2

非線形微小電気機械共振器を用いたロジック及びメモリデバイス / Logic and memory devices of nonlinear microelectromechanical resonator

八尾, 惇 23 March 2015 (has links)
Kyoto University (京都大学) / 0048 / 新制・課程博士 / 博士(工学) / 甲第18990号 / 工博第4032号 / 新制||工||1621 / 31941 / 京都大学大学院工学研究科電気工学専攻 / (主査)教授 引原 隆士, 教授 北野 正雄, 准教授 山田 啓文 / 学位規則第4条第1項該当
3

Logic and memory devices of nonlinear microelectromechanical resonator / 非線形微小電気機械共振器を用いたロジック及びメモリデバイス

Yao, Atsushi 23 March 2015 (has links)
京都大学 / 0048 / 新制・課程博士 / 博士(工学) / 甲第18990号 / 工博第4032号 / 新制||工||1621(附属図書館) / 31941 / 京都大学大学院工学研究科電気工学専攻 / (主査)教授 引原 隆士, 教授 北野 正雄, 准教授 山田 啓文 / 学位規則第4条第1項該当 / Doctor of Philosophy (Engineering) / Kyoto University / DFAM
4

What a photograph can and cannot do: a visual investigation into the social phenomena of photographs as a memory device

Shirley, Anne January 2008 (has links)
As members of extended families and genealogical lines we collect and view photographs to remember. By situating the present investigation within the context of archival family photographic collections, this research seeks to understand the assumptions surrounding the interplay between the practice of viewing photographs and notions of remembering. Historically, photography has been connected to concepts of stability and truth with photographic images acting as a metaphor for ‘real lived experiences’. When a photograph is viewed, whatever was present before the camera is verified. In his seminal text Camera Lucida: Reflections on Photography (1980), French theorist Roland Barthes describes this as ‘a truth to presence’ (Barthes 1980: 84). Barthes links this position to Poststructuralist theory, by determining that photographic signifiers, denotative data, are stable where as the signified, the idea or meaning, is contingent on what a viewer brings to that particular ‘text’. Therefore the viewer relies on denotative data to process meaning. This research explores the ways photographers play with photographic processes to disrupt ideas of stability of meaning surrounding this medium. The visual component of this research explores the expectations that socio-cultural groups, specifically extended families, have when viewing photographs. The subsequent work will endeavour to lay bare the interplay between such expectations and the supposed reliability of the photograph in respect to both meaning and perception. Using an archive of my own extended family’s collection of photographs, this thesis seeks to disrupt the story-telling qualities of photographs. This interruption strategy points to poststructuralist discourses surrounding the stability of the photographic image and the context in which photography is grounded. The work will challenge viewers to re-assess what the photograph can or cannot do. The final work will be comprised of 80% practice and 20% exegesis.
5

Fabrications and Characterization of Nonvolatile Memory Devices with Zn nano Thin Film Embedded in MIS Structure

Chen, Chao-yu 14 June 2010 (has links)
Non-volatile memory is slower than DRAM (Dynamic Random Access Memory) but faster than HDD (Hard Disk Drive). In addition, compared to volatile memory, the non-volatile memory can retain stored information without power, and consume only low power. These characteristics show its popularity of flash memory built in portable devices. Currently the non-volatile memory applies the polysilicon and SONOS structure as floating gate, however, the new technologies of nanocrystal non-volatile memory are processed at high temperature. The manufacturing cost is rather high, so the process at lower temperature is very necessary. In this work, mixed zinc and silica amorphous layers are applied as floating gate to construct nano thin film non-volatile memory devices. The process does not need high temperature to form crystalline, and the defects in zinc oxide can be applied for charge storage. Supercritical carbon dioxide (SCCO2) treatment has been studied for the passivation of dielectric and reducing the activation energy. Using this low-temperature SCCD process ZnO nanocrystal can be formed, and the feasibility of fabricating nanocrystal NVMs device with low temperature SCCO2 is possible. The nonvolatile memory devices with Zn nano thin film embedded in MIS structure are performed. From C-V measurement, it is found that defects in SiO2 are repaired after 500¢J annealing. Because of the thermal diffusion, the storage layer SiO2/Zn-SiO2/SiO2 in device cannot be observed and the memory window disappears when the annealing temperature is higher than 700¢J. Therefore, the annealing process should be performed between 500¢J - 700¢J in making memory device. From DLTS analysis, a species with energy level of 0.6 eV is found in the as deposited Zn-SiO2 layer. After annealing in Ar, a new energy level 0.47 eV is found, and which shifts to energy level 0.85 eV after annealing in O2. In comparison to XPS results, traps of Zn-SiO2 exist before annealing, and after annealing in Ar, Zn-SiO2 transforms into Zn-O-Si. Traps of ZnO-SiO2 have been found after annealing in O2, which increases the memory effect with a 2 Volt memory window, so that more charges can be stored in the deep level traps of ZnO-SiO2 in the storage layer.
6

Application and Study of Metal Nanocrystals for Low Power Nonvolatile Memory Device

Wu, Hsing-Hua 29 June 2004 (has links)
In recently years, nonvolatile memory with nanocrystals cell have widely applied to overcome the issue of operation and reliability for conventional floating gate memory. The excellent electrical characteristics of memory device need good endurance, long retention time and small operation voltage. Among numerous memory devices with nanocrystals, the memory device with metal nanocrystals was widely researched. It will be new candidate for flash memory. The advantages of metal nanocrystals has have higher density of states around Fermi level, stronger coupling with conduction channel, wide range of available work functions and smaller energy perturbation due to carrier confinement. So metal nanocrystals can reduce operate voltage, and increase write/erase speed and endurance. Most important of all, we can control the sizes of nanocrystals dot and manufacture at low temperature¡CThis advantage can apply to thin film transistor liquid crystal display; it fabricates driving IC and logical IC on panel for diverseness and adds memory beside switch TFT as image storage to reduce power consumption for portability. In this thesis, we will discuss metal nanocrystals as memory storage medium. And we can use high temperature oxidation, low temperature annealing with oxygen to form nanocrystals. Besides we analyze the effect of electron storage at metal nanocrystals by means of material and electrical analysis.
7

What a photograph can and cannot do: a visual investigation into the social phenomena of photographs as a memory device

Shirley, Anne January 2008 (has links)
As members of extended families and genealogical lines we collect and view photographs to remember. By situating the present investigation within the context of archival family photographic collections, this research seeks to understand the assumptions surrounding the interplay between the practice of viewing photographs and notions of remembering. Historically, photography has been connected to concepts of stability and truth with photographic images acting as a metaphor for ‘real lived experiences’. When a photograph is viewed, whatever was present before the camera is verified. In his seminal text Camera Lucida: Reflections on Photography (1980), French theorist Roland Barthes describes this as ‘a truth to presence’ (Barthes 1980: 84). Barthes links this position to Poststructuralist theory, by determining that photographic signifiers, denotative data, are stable where as the signified, the idea or meaning, is contingent on what a viewer brings to that particular ‘text’. Therefore the viewer relies on denotative data to process meaning. This research explores the ways photographers play with photographic processes to disrupt ideas of stability of meaning surrounding this medium. The visual component of this research explores the expectations that socio-cultural groups, specifically extended families, have when viewing photographs. The subsequent work will endeavour to lay bare the interplay between such expectations and the supposed reliability of the photograph in respect to both meaning and perception. Using an archive of my own extended family’s collection of photographs, this thesis seeks to disrupt the story-telling qualities of photographs. This interruption strategy points to poststructuralist discourses surrounding the stability of the photographic image and the context in which photography is grounded. The work will challenge viewers to re-assess what the photograph can or cannot do. The final work will be comprised of 80% practice and 20% exegesis.
8

Fabrications and Characteristic of Nonvolatile Memory Devices with Zn and Sn nano Thin Film MIS Structure

Hsu, Kuan-Ting 01 August 2011 (has links)
Non-volatile memory can keep the data without supplying power, and it is suitable for portable electronic products due to the advantage of low power consumption. In current industrial production, high-temperature and long-time process are necessary for the fabrication of non-volatile memory, which are heavy loadings on production capacity and lots cost. Therefore, decreasing the temperature of the process is a trend. Recently using the oxidation treatment of supercritical carbon dioxide fluid can efficiently decrease the temperature of the process. In this thesis, the mixture layer of Zn, Sn, and SiO2 is applied to reduce the temperature of process, and to employ the defects of ZnO and SnO2 as floating gate for electron storage to fabricate the nonvolatile memory device. Zn and Sn are applied due to the low temperature melting points. To ensure the layer of cosputtering with Zn and Sn to be able to successfully fabricate as nano material device, the process of traditional rapid temperature annealing treatment was applied for first step. The co-sputtered Zn-Sn-SiO2 thin film was deposited on the tunneling oxide layer, and then the thin film was treated with varied annealing temperature to precipitate ZnO and SnO2 nanocrystals. After that, the C-V measurement is applied to analyze the change of the electrical and material properties. Using a positive bias, the electrons are injected into the oxide layer, by the threshold voltage the offset is occurred, which is defined as the memory window of the memory effect, and the property of nonvolatile memory will be applied. In addition, no matter the charge is injected from the gate oxide or tunnel oxide, the defects position of DLTS¡¦s peak is with the same property. The supercritical carbon dioxide fluid technology has been performed to study the memory effect. The capability of electron injection, storages and the defect, in the storage layer were studied by the C-V measurement and DLTS. The experiment confirmed that the Zn-Sn alloy has the memory property after it been treated by the supercritical carbon dioxide fluid technology. It has shown that Zn can promote to the storage capability ability due to the formation of deep level defects of SnO2 from the DLTS spectra. A new species is found at 0.93 eV with low activation energy and high capability of electron storage. The defect formation mechanism of Zn, ZnO, Zn-O-Si, Sn, and SnO are analyzed by found by the XPS and DLTS. The device fabrication using Zn-Si alloy and supercritical carbon dioxide fluid technology has the potential to reduce the process temperature and to improve the memory property of nonvolatile memory device.
9

Switching mechanisms, electrical characterisation and fabrication of nanoparticle based non-volatile polymer memory devices

Prime, Dominic Charles January 2010 (has links)
Polymer and organic electronic memory devices offer the potential for cheap, simple memories that could compete across the whole spectrum of digital memories, from low cost, low performance applications, up to universal memories capable of replacing all current market leading technologies, such as hard disc drives, random access memories and Flash memories. Polymer memory devices (PMDs) are simple, two terminal metal-insulator-metal (MIM) bistable devices that can exist in two distinct conductivity states, with each state being induced by applying different voltages across the device terminals. Currently there are many unknowns and much ambiguity concerning the working mechanisms behind many of these PMDs, which is impeding their development. This research explores some of these many unanswered questions and presents new experimental data concerning their operation. One prevalent theory for the conductivity change is based on charging and charge trapping of nanoparticles and other species contained in the PMD. The work in this research experimentally shows that gold nanoparticle charging is possible in these devices and in certain cases offers an explanation of the working mechanism. However, experimental evidence presented in this research, shows that in many reported devices the switching mechanism is more likely to be related to electrode effects, or a breakdown mechanism in the polymer layer. Gold nanoparticle charging via electrostatic force microscopy (EFM) was demonstrated, using a novel device structure involving depositing gold nanoparticles between lateral electrodes. This allowed the gold nanoparticles themselves to be imaged, rather than the nanoparticle loaded insulating films, which have previously been investigated. This method offers the advantages of being able to see the charging effects of nanoparticles without any influence from the insulating matrix and also allows charging voltages to be applied via the electrodes, permitting EFM images to capture the charging information in near real-time. Device characteristics of gold nanoparticle based PMDs are presented, and assessed for use under different scenarios. Configurations of memory devices based on metal-insulator-semiconductor (MIS) structures have also been demonstrated. Simple interface circuitry is presented which is capable of performing read, write and erase functions to multiple memory cells on a substrate. Electrical properties of polystyrene thin films in the nanometre thickness range are reported for the first time, with insulator trapped charges found to be present in comparable levels to those in silicon dioxide insulating films. The dielectric breakdown strength of the films was found to be significantly higher than bulk material testing would suggest, with a maximum dielectric strength of 4.7 MV•cm-1 found, compared with the manufacturers bulk value of 0.2 – 0.8 MV•cm-1. Conduction mechanisms in polystyrene were investigated with the dominant conduction mechanism found to be Schottky emission.
10

Semi-parametric Bayesian Inference of Accelerated Life Test Using Dirichlet Process Mixture Model

Liu, Xi January 2015 (has links)
No description available.

Page generated in 0.0331 seconds