• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 38
  • 7
  • 5
  • 4
  • 4
  • 3
  • 2
  • 2
  • 1
  • 1
  • Tagged with
  • 87
  • 20
  • 15
  • 14
  • 13
  • 13
  • 12
  • 11
  • 11
  • 11
  • 10
  • 10
  • 10
  • 9
  • 9
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
11

Silicide formation through diffusion barriers

Balde, Maryna 04 1900 (has links)
Dissertation (PhD)--University of Stellenbosch, 2006. / ENGLISH ABSTRACT: The formation of Ni-, Co- and Fe-silicides through different diffusion barrier interlayers was investigated. The diffusion barrier layers examined were Ta, Ti and Cr. In some cases the thickness of the barrier layer and the influence of a capping layer was also investigated. The thin-film structures were prepared on single crystal Si-substrates by Electron Beam Vacuum Deposition. The samples were vacuum annealed for times ranging from 10 to 60 min at temperatures ranging from 340 - 800°C and sample characterization was carried out by conventional RBS, dynamic RBS, channeling RBS and X-ray diffraction (XRD). The use of a thin (20Å) Ta diffusion barrier in the Ni-Si system allowed no reaction even after annealing for 10 min at 400°C, but RBS measurements showed that after annealing for 15 min at 400°C uniform NiSi formed suddenly as first phase. XRD as well as dynamic RBS measurements confirmed this abrupt formation of NiSi instead of the normal first phase Ni2Si. According to the Effective Heat of Formation (EHF) model this shows that the diffusion barrier reduces the effective concentration of the Ni atoms to a value where the effective heat of formation of NiSi is more negative than that of Ni2Si and first phase formation of NiSi is thus thermodynamically favoured. The thickness uniformity of the first phase NiSi that formed through the thin Ta barrier improved at higher annealing temperatures. A thicker (100Å) Ta barrier also retarded the Ni diffusion and first phase, non-uniform NiSi only started to form at 500°C. The uniformity of this NiSi also improved with increased temperature but the use of the 20Å Ta barrier produced more uniform first phase NiSi in the 400 to 700°C temperature range. The use of a thin (30-50Å) Cr barrier also allowed the formation of mainly NiSi at 400°C, although XRD spectra indicated the presence of some Ni2Si. The uniformity of NiSi improved at higher temperature anneals. Similar results were obtained from samples with a thicker (100Å) Cr barrier layer at lower temperatures, i.e. the formation of NiSi as first phase at 400°C, but the first phase NiSi that formed at 500 to 700°C was non-uniform. In the case of Ti-barriers, the thicker (100Å) Ti barrier seems less effective than the thinner Ti barriers in delivering uniform first phase NiSi in the 500 to 700°C temperature range. The use of a thin (30-50Å) Ti barrier produced a mixture of Ni2Si and NiSi as first reaction at 400°C, but a 10 min anneal at 500°C formed uniform NiSi as confirmed by RBS and XRD measurements. The uniformity of the NiSi improved with an increase in annealing temperature up to 700°C. In the case of the thicker Ti interlayer no reaction occured at 400°C and non-uniform first phase NiSi formed at 500°C. All three thin barriers formed NiSi2 at temperatures of 750°C and above, but the thin Ti barrier formed the most uniform di-silicide. The NiSi2 that formed at 800°C through all three of the thicker barriers was non-uniform. The use of a thin (10-30Å) Ta diffusion barrier prevented Co-silicide formation up to 560°C. The effective Co concentration at the growth interface is lowered, thus skipping the usual first phase formation of Co2Si at 450°C. At 560°C a mixture of CoSi and CoSi2 formed, as was confirmed by XRD. The CoSi2 that formed at 640°C (a higher formation temperature than without barrier) was of quite uniform thickness, but XRD measurements indicated that some CoSi was present as well. The use of thicker (100Å) Ta barrier layers retarded the diffusion of Co atoms for temperatures of up to 600°C. Annealing at 700°C formed CoSi2 and some CoSi and at 800°C non-uniform CoSi2 formed. The addition of a Ta capping layer (of different thicknesses) in conjunction with a 30Å Ta diffusion barrier layer did not significantly improve Co-silicide formation. The use of thin (10-30Å) Ti barrier layers resulted in the skipping of the Co2Si precursor phase and the formation of quite uniform first phase CoSi at 520°C. Uniform CoSi2 started forming at 560°C and the CoSi2 remained uniform at higher temperatures. The presence of a thicker (100Å) Ti barrier lowered the effective concentration of Co at the growth interface to such an extent that CoSi2 started to form as first phase after annealing for 30 min at 600°C. At 700 and 800°C non-uniform CoSi2 formed. For Fe-silicide formation the use of 50Å and 100Å Cr barriers, as well as CrSi2 barriers, delivered very similar results. There was no change in the normal Fe-silicide phase formation sequence, as non-uniform FeSi was the first phase to form at 500°C and thereafter FeSi2 started to form at 600°C. At 700°C the use of Cr barriers resulted in the complete formation of FeSi2 of greater uniformity than was formed in the Si-Fe binary system without the presence of a diffusion barrier. In this study dynamic real-time RBS has been used for the first time to prove without any doubt that diffusion barrier layers can be used to bring about “phase skipping”. These results have been interpreted in terms of the Effective Heat of Formation (EHF) model and are good examples of concentration controlled phase selection (CCPS). In general it was found that the thicker the diffusion barrier layer, the higher the temperature of silicide formation. Furthermore, silicide formation was generally found to be more uniform at higher annealing temperatures and when thinner diffusion barrier layers were used. / AFRIKAANSE OPSOMMING: Die vorming van Ni-, Co- en Fe-silisiedes deur verskillende diffusie sper-tussenlagies is ondersoek. Die diffusie sperlagies onder beskouing was Ta, Ti en Cr. In sommige gevalle is die invloed van die dikte van die sperlagie en van ‘n deklagie ook ondersoek. Die dun-film strukture is voorberei op enkelkristal Si-substrate d.m.v. Elektronbundel Vakuum Deposisie. Die monsters is in vakuum uitgegloei vir tye wat wissel van 10 tot 60 minute by temperature wat wissel van 340 - 800°C en die karakterisering van die monsters is uitgevoer d.m.v. konvensionele RBS, dinamiese RBS, kanaliserings RBS en X-straal diffraksie (XRD). Die gebruik van a dun (20Å) Ta sperlagie in die Ni-Si sisteem het reaksie verhoed selfs na ‘n uitgloei van 10 min. by 400°C, maar RBS resultate het getoon dat uniforme NiSi skielik gevorm het as eerste fase na ‘n 15 min. uitgloei by 400°C. XRD sowel as dinamiese RBS metings het hierdie abrupte formasie van NiSi in plaas van die normale eerste fase Ni2Si bevestig. Volgens die Effektiewe Hitte van Formasie (EHF) model toon dit dat die diffusie sperlagie die effektiewe konsentrasie van die Ni-atome verlaag tot ‘n waarde waar die effektiewe hitte van formasie van NiSi meer negatief is as dié van Ni2Si en sodoende word die eerste fase formasie van NiSi termodinamies bevoordeel. Die dikte uniformiteit van die eerste fase NiSi wat deur die dun Ta sperlaag gevorm het, het verbeter met uitgloei by hoër temperature. ‘n Dikker (100Å) Ta sperlaag het ook Ni diffusie vertraag en nie-uniforme, eerste fase NiSi het eers by 500°C begin vorm. Die uniformiteit van hierde NiSi het ook verbeter met toename in temperatuur, maar die gebruik van die 20Å Ta sperlagie het meer uniforme eerste fase NiSi produseer in die 400 tot 700°C temperatuur gebied. Die gebruik van ‘n dun Cr (30-50Å) sperlagie het ook by 400°C die vorming van hoofsaaklik NiSi opgelewer, maar XRD spektra het Ni2Si ook aangedui. Die uniformiteit van NiSi het verbeter by hoër temparatuur uitgloeiings. Soortgelyke resultate is verkry van monsters met ‘n dikker (100Å) Cr sperlaag by laer temperature, d.w.s die vorming van NiSi as eerste fase by 400°C, maar die eerste fase NiSi wat by 500 tot 700°C gevorm het, was nie-uniform. In die geval van Ti-sperlagies was die dikker (100Å) Ti minder effektief as die dunner Ti sperlagies vir die verkryging van uniforme eerste fase NiSi in die 500 tot 700°C temperatuur gebied. Die gebruik van ‘n dun (30-50Å) Ti sperlagie het ‘n mengsel van Ni2Si en NiSi as eerste reaksie gevorm by 400°C, maar ‘n 10 min. uitgloei by 500°C het uniforme NiSi gevorm, soos bevestig is deur RBS en XRD metings. Die uniformiteit van die NiSi het verbeter met toename in uitgloei-temperatuur tot by 700°C. In die geval van die dikker Ti sperlagie het geen reaksie by 400°C plaasgevind nie en nie-uniforme eerste fase NiSi het by 500°C gevorm. Al drie dun sperlagies het NiSi2 gevorm by temperature van 750°C en hoër, maar die dun Ti sperlaag het die mees uniforme di-silisied gevorm. Die NiSi2 wat deur al drie die dikker sperlae by 800°C gevorm het, was nie-uniform. Die gebruik van ‘n dun (10-30Å) Ta diffusie sperlagie het Co-silisied formasie voorkom tot by 560°C. Die effektiewe Co-konsentrasie by die groei-intervlak is verlaag, derhalwe word die gewone eerste fase formasie van Co2Si by 450°C oorgeslaan. By 560°C het ‘n mengsel van CoSi en CoSi2 gevorm, soos bevestig deur XRD. Die CoSi2 wat by 640°C gevorm het (‘n hoër formasie temperatuur as sonder ‘n sperlagie) se dikte was redelik uniform, maar XRD metings het getoon dat daar ook CoSi teenwoordig was. Die gebruik van dikker (100Å) Ta sperlae het die diffusie van Co-atome vertraag tot by temperature so hoog as 600°C. Uitgloei by 700°C het CoSi2 sowel as CoSi gevorm en by 800°C het nie-uniforme CoSi2 gevorm. Die toevoeging van ‘n Ta deklagie (van verskillende diktes) in samehang met ‘n 30Å Ta diffusie sperlagie het nie Co-silisied formasie wesentlik beïnvloed nie. Die gebruik van dun (10-30Å) Ti sperlagies het gelei tot die oorslaan van die Co2Si voorloper fase en die vorming van redelik uniforme eerste fase CoSi by 520°C. Uniforme CoSi2 het by 560°C begin vorm en by hoër temperature was die CoSi2 steeds uniform. Die teenwoordigheid van ‘n dikker (100Å) Ti sperlagie het die effektiewe konsentrasie van Co by die groei-intervlak so verlaag dat CoSi2 as eerste fase begin vorm het na ‘n 30 min. uitgloei by 600°C. By 700 en 800°C het nie-uniforme CoSi2 gevorm. Vir Fe-silisied formasie het die gebruik van 50Å en 100Å Cr sperlae, sowel as CrSi2 sperlae, soortgelyke resultate opgelewer. Daar was geen verandering in die gewone Fe-silisied fase formasie volgorde nie, want nie-uniforme FeSi was die eerste fase wat by 500°C gevorm het en daarna het FeSi2 begin vorm by 600°C. Uitgloei by 700°C deur Cr sperlagies het gelei tot die volledige formasie van FeSi2 wat meer uniform was as dié wat in die Fe-Si binêre sisteem gevorm het sonder ‘n diffusie sperlagie. In hierdie studie is dinamiese intydse RBS vir die eerste keer gebruik om bo enige twyfel te bewys dat diffusie sperlae gebruik kan word om die “oorslaan” van fases te bewerkstellig. Hierdie resultate is interpreteer in terme van die Effektiewe Hitte van Formasie (EHF) model en is goeie voorbeelde van konsentrasie-gekontroleerde fase seleksie. In die algemeen is bevind dat hoe dikker die diffusie sperlagie, hoe hoër die temperatuur van silisied-formasie. Bowendien was silisied-formasie oor die algemeen meer uniform by hoër uitgloei-temperature en met die gebruik van dunner diffusie sperlagies.
12

Microstructural studies of the formation of titanium silicide

Stephenson, Andrew William January 1993 (has links)
No description available.
13

Effect of additive Ag in TiSi2 thin films for phase transformation and mechanical behavior under nanoindentation

Sun, Shuo-yang 23 July 2010 (has links)
The C54 TiSi2 thin films are widely applied in semiconductor devices due to the low electric resistance and high thermal stability. Through the annealing processing in this study, the metastable C49 TiSi2 with an electric resistivity of 219.3 £g£[-cm transforms to the stable C54 TiSi2 phase at a higher annealing temperature, with a resistivity of 30.5 £g£[-cm. Hence the transformation temperature of C49 ¡÷ C54 is of great concern in metallization of gates and local interconnections. In this thesis, it is found that the oxygen content and Ag addition impose significant influence on the transformation temperature of C49 ¡÷ C54. The as-sputtered TiSi2 thin films are confirmed to be amorphous. After annealing at 600oC or 900oC, the silicides would transform to the metastable C49 TiSi2 or C54 TiSi2 phase, respectively. The current transformation temperatures are much higher than 200oC and 600oC for the normal TiSi2 system, due to high oxygen content in the current films (up to 15-20 at% as a result of our old sputtering system). Nevertheless, the co-sputtered TiSi2 thin films with 5 and 20 at% Ag can decrease the formation temperature of C54 TiSi2 phase to 800oC. Compare with the as-sputtered TiSi2 thin films, the desirable electric resistivity of the C54 phase in the 20 at% Ag thin films is also further reduced to 22.9 £g£[-cm. The time-dependent mechanical responses of the amorphous, crystalline C49, and C54 TiSi2 thin films are investigated by room-temperature nanoindentation at the different loading rates ranging from 0.0125 to 5 mN/s. The anelasticity response plays an important role in the current TiSi2 thin films and is found to be sensitive to the loading rate. The displacement of time-dependent anelasticity recorded during the period of hold time increases with increasing loading rate. The anelasticity behavior can be analyzed by the Kelvin model. The as-deposited amorphous phase, with a lower atomic packing density and higher degree of defects and free volumes, exhibits the higher anelasticity deformation and longer relaxation time.
14

X-ray Absorption Spectroscopy of Ultrathin Nickel Silicide Films: A Theoretical and Experimental Investigation

Arthur, Zachary 16 April 2013 (has links)
Previous studies have attempted to probe the structure of ultra-thin Nickel silicide films as they evolve in the manufacturing process with limited success. These studies have used ultra-thin Nickel silicide films that were quenched during the manufacturer's annealing process at select temperatures. This study aims to determine the structure of quenched ultra-thin Ni-Si films using Grazing Incidence X-Ray Absorption Near Edge Spectroscopy (GI-XANES) and ab-initio calculations (FDMNES). Successful calculations were prepared for the δ and θ Ni2Si phases, as well as the Ni3Si2, NiSi and NiSi2 phases. The GI-XANES experimental data was taken at the Canadian Light Source, at the Hard X-Ray Microanalysis Beamline (HXMA). XANES and FDMNES are used to identify two phases of the ultra-thin films: the as-deposited phase as a low-ordered Ni3Si2 phase, and the epitaxial NiSi2 phase was found in samples annealed past 400˚C.
15

The corrosion of Ni₃Si alloys in sulfuric acid

Larson, Christopher M. January 2009 (has links) (PDF)
Thesis (M.S.)--Missouri University of Science and Technology, 2009. / Vita. The entire thesis text is included in file. Title from title screen of thesis/dissertation PDF file (viewed April 27, 2009) Includes bibliographical references (p. 67-68).
16

Marker studies of the solid state formation of CrSi2 on Pd2Si

Mars, Johan Andre January 1998 (has links)
Thesis (MTech (Science))--Peninsula Technikon, Cape Town, 1998 / The chemical system, Si < >1 Pd 1Cr, was investigated to study the formation of CrSil on polycrystalline PdlSi, formed on Si<IOO> and epitaxial PdlSi formed on Si<lll>. To ascertain the reaction mechanism during the formation, tantalum was used as an inert marker, since it does not participate in the reaction and is readily measured by Rutherford Backscattering Spectrometry (RBS). This investigation was performed in two parts. In the first part, the tantalum was inserted in the PdlSi layer to determine which species; palladium or silicon diffuses during CrSil formation. In the second part, the marker was inserted in the CrSiI layer to determine whether chromium or silicon moves. In addition, the effect of marker thickness on the growth of CrSiI was investigated. The samples were prepared by electron gun evaporation in vacuum, the elements being deposited on the particular silicon substrates. This was followed by the thermal treatment of the samples at temperatures of 400,425,450,475,500 and 550°C. Normal and dynamic Rutherford backscattering spectrometry was used to characterize the thin film structures. If the marker, when inserted in the PdISi layer, should move towards the PdISi I CrSil interface then, the formation of CrSil would be due to the dissociation of Pd2Si. In this case PdlSi dissociates into Pd and Si and the Si diffuses to the interface of CrSi2 and Cr to form CrSil, whereas the Pd diffuses to the Si < > I Pd2Si interface to regrow PdISi. However, if the marker position remains constant with respect to the Pd2Si layer it can be concluded that the formation of CrSiI is due to the movement of Si from the substrate to the interface of CrSi2 and Cr to form CrSiI. If the marker when inserted in the CrSi2 should move towards the sample surface then the chromium diffuses to the interface ofCrSi1 and PdlSi to react with the silicon, forming CrSi2 .
17

Novel Semi-Conductor Material Systems: Molecular Beam Epitaxial Growth and Characterization

Elmarhoumi, Nader M. 12 1900 (has links)
Semi-conductor industry relies heavily on silicon (Si). However, Si is not a direct-band gap semi-conductor. Consequently, Si does not possess great versatility for multi-functional applications in comparison with the direct band-gap III-V semi-conductors such as GaAs. To bridge this gap, what is ideally required is a semi-conductor material system that is based on silicon, but has significantly greater versatility. While sparsely studied, the semi-conducting silicides material systems offer great potential. Thus, I focused on the growth and structural characterization of ruthenium silicide and osmium silicide material systems. I also characterized iron silicon germanide films using extended x-ray absorption fine structure (EXAFS) to reveal phase, semi-conducting behavior, and to calculate nearest neighbor distances. The choice of these silicides material systems was due to their theoretically predicted and/or experimentally reported direct band gaps. However, the challenge was the existence of more than one stable phase/stoichiometric ratio of these materials. In order to possess the greatest control over the growth process, molecular beam epitaxy (MBE) has been employed. Structural and film quality comparisons of as-grown versus annealed films of ruthenium silicide are presented. Structural characterization and film quality of MBE grown ruthenium silicide and osmium silicide films via in situ and ex situ techniques have been done using reflection high energy electron diffraction, scanning tunneling microscopy, atomic force microscopy, cross-sectional scanning electron microscopy, x-ray photoelectron spectroscopy, and micro Raman spectroscopy. This is the first attempt, to the best of our knowledge, to grow osmium silicide thin films on Si(100) via the template method and compare it with the regular MBE growth method. The pros and cons of using the MBE template method for osmium silicide growth are discussed, as well as the structural differences of the as-grown versus annealed films. Future perspectives include further studies on other semi-conducting silicides material systems in terms of growth optimization and characterization.
18

Oxidation Behavior and Chlorination Treatment to Improve Oxidation Resistance of Nb-Mo-Si-B Alloys

Vikas Behrani January 2004 (has links)
19 Dec 2004. / Published through the Information Bridge: DOE Scientific and Technical Information. "IS-T 2315" Vikas Behrani. 12/19/2004. Report is also available in paper and microfiche from NTIS.
19

A systematic study of LPCVD refractory metal/silicide interconnect materials for very large scale integrated circuits.

Nowrozi, Mojtaba Faiz. January 1988 (has links)
Recently, refractory materials have been proposed as a strong alternative to poly-silicon and aluminum alloys as metallization systems for Very Large Scale Integrated (VLSI) circuits because of their improved performance at smaller Integrated Circuit (IC) feature size and higher interconnect current densities. However, processing and reliability problems associated with the use of refractory materials have limited their widespread acceptance. The hot-wall low pressure chemical vapor deposition (LPCVD) of Molybdenum and Tungsten from their respective hexacarbonyl sources has been studied as a potential remedy to such problems, in addition to providing the potential for higher throughput and better step coverage. Using deposition chemistries based on carbonyl sources, Mo and W deposits have been characterized with respect to their electrical, mechanical, structural, and chemical properties as well as their compatibility with conventional IC processing. Excellent film step coverage and uniformity were obtained by low temperature (300-350 C) deposition at pressures of 400-600 mTorr. As-deposited films were observed to be amorphous, with a resistivity of 250 and 350 microohm-cm for Mo and W respectively. On annealing at high temperatures in a reducing or inert atmosphere, the films crystallize with attendant reduction in resistivity to 9.3 and 12 microohm-cm for Mo and W, respectively. The average grain size also increases as a function of time and temperature to a maximum of 2500-3000 A. The metals and their silicides that are deposited, using silane as silicon source, are integratable to form desired metal-silicide gate contact structures. Thus, use of the low resistivity of the elemental metal coupled with the oxidation resistance of its silicide manifests the quality and economy of the process. MOS capacitors with Mo and W as the gate material have been fabricated on n-type (100) silicon. A work function of 4.7 +/- 0.1 eV was measured by means of MOS capacitance-voltage techniques. The experimental results further indicate that the characteristics of W-gate MOS devices related to the charges in SiO₂ are comparable to those of poly-silicon; while, the resistivity is about two orders of magnitude lower than poly-silicon. It is therefore concluded that hot-wall low pressure chemical vapor deposition of Mo and W from their respective carbonyl sources is a viable technique for the deposition of reliable, high performance refractory metal/silicide contact and interconnect structures on very large scale integrated circuits.
20

Preparation and characterization of titanium silicide by MEVVA implantation.

January 1999 (has links)
by Lai Kwong-Yu. / Thesis submitted in: December 1998. / Thesis (M.Phil.)--Chinese University of Hong Kong, 1999. / Includes bibliographical references (leaves 90-101). / Abstracts in English and Chinese. / Chapter 1 --- Introduction --- p.1 / Chapter 1.1 --- Metal Silicides --- p.1 / Chapter 1.2 --- Titanium Silicide --- p.8 / Chapter 1.3 --- Goal Of This Project --- p.10 / Chapter 2 --- Sample Preparation And Experimental Methods --- p.12 / Chapter 2.1 --- MEVVA Implantation --- p.12 / Chapter 2.2 --- Sample Preparation --- p.15 / Chapter 2.2.1 --- Implantation Condition --- p.15 / Chapter 2.2.2 --- Thermal Treatment --- p.19 / Chapter 2.3 --- Characterization Methods --- p.20 / Chapter 2.3.1 --- Sheet Resistivity Measurement --- p.22 / Chapter 2.3.2 --- X-Ray Diffraction (XRD) --- p.25 / Chapter 2.3.3 --- Rutherford Backscattering Spectroscopy (RBS) --- p.28 / Chapter 2.3.4 --- Transmission Electron Microscopy (TEM) --- p.31 / Chapter 3 --- Characterization of As-implanted Samples --- p.36 / Chapter 3.1 --- Introduction --- p.36 / Chapter 3.2 --- Dose Dependence Of As-implanted Samples --- p.37 / Chapter 3.2.1 --- Sheet Resistance Measurement --- p.37 / Chapter 3.2.2 --- X-Ray Diffraction (XRD) --- p.40 / Chapter 3.2.3 --- Rutherford Backscattering Spectroscopy (RBS) --- p.40 / Chapter 3.3 --- Implant Beam Current Dependence Of As-implanted Samples --- p.43 / Chapter 3.3.1 --- Sheet Resistance Measurement --- p.43 / Chapter 3.3.2 --- X-Ray Diffraction (XRD) --- p.44 / Chapter 3.3.3 --- Rutherford Backscattering Spectroscopy (RBS) --- p.46 / Chapter 3.4 --- Transmission Electron Microscopy (TEM) --- p.48 / Chapter 3.5 --- Summary --- p.52 / Chapter 4 --- Characterization of Annealed Samples --- p.57 / Chapter 4.1 --- Introduction --- p.57 / Chapter 4.2 --- Dose Dependence Of Annealed Samples --- p.58 / Chapter 4.2.1 --- Sheet Resistance Measurements --- p.58 / Chapter 4.2.2 --- X-Ray Diffraction (XRD) --- p.61 / Chapter 4.2.3 --- Rutherford Backscattering Spectroscopy (RBS) --- p.63 / Chapter 4.3 --- Implant Beam Current Dependence Of Annealed Samples --- p.66 / Chapter 4.3.1 --- Sheet Resistance Measurement --- p.66 / Chapter 4.3.2 --- X-Ray Diffraction (XRD) --- p.68 / Chapter 4.3.3 --- Rutherford Backscattering Spectroscopy (RBS) --- p.70 / Chapter 4.4 --- Annealing Temperature Dependence Of Annealed Samples --- p.71 / Chapter 4.4.1 --- Sheet Resistance Measurement --- p.71 / Chapter 4.4.2 --- X-Ray Diffraction (XRD) --- p.73 / Chapter 4.4.3 --- Rutherford Backscattering Spectroscopy (RBS) --- p.75 / Chapter 4.5 --- Annealing Time Dependence Of Annealed Samples --- p.78 / Chapter 4.5.1 --- Sheet Resistance Measurement --- p.78 / Chapter 4.5.2 --- X-Ray Diffraction (XRD) --- p.79 / Chapter 4.5.3 --- Rutherford Backscattering Spectroscopy (RBS) --- p.81 / Chapter 4.6 --- Transmission Electron Microscopy (TEM) --- p.82 / Chapter 4.7 --- Summary --- p.84 / Chapter 5 --- Conclusion --- p.87 / Chapter 5.1 --- Main Results Of This Work --- p.87 / Chapter 5.2 --- Suggestions To Future Works --- p.89 / Bibliography

Page generated in 0.0258 seconds