• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 333
  • 53
  • 44
  • 40
  • 17
  • 17
  • 12
  • 11
  • 4
  • 3
  • 2
  • 2
  • 1
  • 1
  • 1
  • Tagged with
  • 670
  • 161
  • 116
  • 88
  • 86
  • 63
  • 56
  • 55
  • 50
  • 50
  • 45
  • 41
  • 41
  • 41
  • 39
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
91

Optical modeling and resist metrology for deep-UV photolithography

Liu, Chao 30 October 2006 (has links)
This thesis first presents a novel and highly accurate methodology for investigating the kinetics of photoacid diffusion and catalyzed-deprotection of positive-tone chemically amplified resists during post exposure bake (PEB) by in-situ monitoring the change of resist and capacitance (RC) of resist film during PEB. Deprotection converts the protecting group to volatile group, which changes the dielectric constant of resist. So the deprotection rate can be extracted from the change of capacitance. The photoacid diffusivity is extracted from the resistance change because diffusivity determines the rate of change of the acid distribution. Furthermore, by comparing the R and C curves, the dependence of acid diffusivity on reaction state can be extracted. The kinetics of non-Fickean acid transportation, deprotection, free volume generation and absorption/escaping, and resist shrinkage is analyzed and a comprehensive model is proposed that includes these chemical/physical mechanisms. Then in this thesis a novel lithographic technique, liquid immersion contact lithography (LICL) is proposed and the simulations are performed to illustrate its main features and advantages. Significant depth-of-field (DOF) enhancement can be achieved for large pitch gratings with deep-UV light (λ=248nm) illumination with both TM and TE polarizations by liquid immersion. Better than 100nm DOF can be achieved by when printing 70nm apertures. The simulation results show that it is very promising to apply this technique in scanning near field optical microscopy. Finally, a rigorous, full vector imaging model of non-ideal mask is developed and the simulation of the imaging of such a mask with 2D roughness is performed. Line edge roughness (LER) has been a major issue limiting the performance of sub-100nm photolithography. A lot of factors contribute to LER, including mask roughness, lens imperfection, resist chemistry, process variation, etc. To evaluate the effect of mask roughness on LER, a rigorous full vector model has been developed by the author. We calculate the electromagnetic (EM) field immediately after a rough mask by using TEMPEST and simulate the projected wafer image with SPLAT. The EM field and wafer image deviate from those from an ideal mask. LER is finally calculated based on the projected image.
92

Pattern-integrated interference lithography: single-exposure formation of photonic-crystal lattices with integrated functional elements

Burrow, Guy Matthew 15 June 2012 (has links)
A new type of photolithography, Pattern-Integrated Interference Lithography (PIIL), was demonstrated. PIIL is the first-ever integration of pattern imaging with interference lithography in a single-exposure step. The result is an optical-intensity distribution composed of a subwavelength periodic lattice with integrated functional circuit elements. To demonstrate the PIIL method, a Pattern-Integrated Interference Exposure System (PIIES) was developed that incorporates a projection imaging capability in a novel three-beam interference configuration. The purpose of this system was to fabricate, in a single-exposure step, representative photonic-crystal structures. Initial experimental results have confirmed the PIIL concept, demonstrating the potential application of PIIL in nano-electronics, photonic crystals, biomedical structures, optical trapping, metamaterials, and in numerous subwavelength structures. In the design of the PIIES configuration, accurate motif geometry models were developed for the 2D plane-group symmetries possible via linearly-polarized three-beam interference, optimized for maximum absolute contrast and primitive-lattice-vector direction equal contrast. Next, a straightforward methodology was presented to facilitate a thorough analysis of effects of parametric constraints on interference-pattern symmetries, motif geometries, and their absolute contrasts. With this information, the design of the basic PIIES configuration was presented along with a model that simulates the resulting optical-intensity distribution at the system sample plane. Appropriate performance metrics were defined in order to quantify the characteristics of the resulting photonic-crystal structure.
93

Photoresist modeling for 365 nm and 257 nm laser photomask lithography and multi-analyte biosensors indexed through shape recognition

Rathsack, Benjamen Michael. January 2001 (has links)
Thesis (Ph. D.)--University of Texas at Austin, 2001. / Vita. Includes bibliographical references. Available also from UMI Company.
94

Optimizing inspection of high aspect ratio microstructure using a programmable optical microscope

Ceremuga, Joseph Thomas, January 2003 (has links) (PDF)
Thesis (M.S. in M.E.)--School of Mechanical Engineering, Georgia Institute of Technology, 2004. Directed by Thomas R. Kurfess. / Includes bibliographical references (leaves 110-112).
95

Investigation of a roll-to-roll nanoimprinting process utilizing inkjet based resist deposition

Kincaid, Matthew Michael 08 February 2012 (has links)
A high-speed, large-area technique capable of nanopatterning flexible substrates is highly desirable in several applications such as; 1) thin film photovoltaics (TFPV's), 2) flexible electronics, 3) optoelectronics, 4) energy storage devices and 5) biological applications. Flexible substrates are attractive as they can be lower in cost than traditional substrates, and provide the ability to perform continuous processing both of which are valuable for cost sensitive applications such as TFPVs. Also, flexible substrates can conform to non-planar surfaces and therefore provide versatility in applications such as wearable electronics and biomedical devices. In this thesis, a patterning approach known as Jet and Flash Imprint Lithography (J-FIL) is explored for flexible substrates. J-FIL uses inkjets to deposit low-viscosity UV curable polymer materials (resists) that are molded by a template at room temperature and low pressures prior to UV cross-linking. There are inherent advantages to the J-FIL process that lends itself to patterning flexible substrates. The room temperature and low pressure process makes it more compatible with flexible substrates which tend to become dimensionally unstable at elevated temperatures and pressure. The extension of J-FIL to flexible substrates involves the following key challenges: (i) Understanding the level of precision required in roll-to-roll machine systems to ensure that these systems can facilitate imprint and separation of nano-scale features; (ii) The substrate surface should be controlled to initiate and maintain proper interface with the template and avoid formation of bubbles; (iii) The tension in the film should be controlled to ensure that the discrete resist drops are coerced to form a uniform contiguous residual film underneath the patterns; (iv) The fluid filling time - that is representative of the process throughput - should be low; and (v) After UV curing and separation, the nanoscale patterns should not be deformed or damaged. The above challenges were addressed by developing a roll-to-roll test bed to imprint flexible polycarbonate films using the J-FIL process. The test bed has the capability of controllably varying a number of web tension parameters as well as process variables in order to calibrate machine precision and establish control schemes for a robust process. Process metrics such as RLT uniformity, target RLT accuracy, feature filling and feature distortion were measured and quantified. A design of experiments was performed on the test bed for the purposes tuning the process variables as well as developing a model of process performance, with respect to critical process parameters. A two-level design, with three input variables, is utilized in this experimental process. The process yielded blank imprints with mean thickness of 70.5 nm, and a standard deviation of 3.9 nm. The sensitivity of the mean thickness and uniformity to process variables were quantified. The best performing set of input parameters were then used during patterned imprints, to determine if any pattern filling issues or pattern deformation would take place. The patterned imprints, made up of an array of hexagonal pillars (125nm tall, by 240 nm wide, by 450 nm pitch) showed no sign of fluid filling voids, or deformation due to separation. Given this result, the feasibility of implementing J-FIL on a roll-to-roll prototype system was established. A proposed next generation flexible substrate J-FIL tool is presented, along with the expected challenges associated with metrology and dynamic noise. Future work entails the design and qualification of a full scale roll-based imprint tool, capable of meeting throughput metrics established for industrial applications. / text
96

Probing topographical influences on biofilm formation using dynamic-mask multiphoton lithography

Fox, Michelle Ann 26 July 2012 (has links)
It has only been within recent decades that the complexity and heterogeneity of the biofilm mode of bacterial existence has been widely appreciated. Biofilms have persisted for billions of years as social communities of cells aggregated and attached on surfaces, and today they are both necessary and harmful within the human body and our surrounding environment. They show extremely high antibiotic resistance relative to planktonic cells and are sources of persistent infections. Biofilms are also the most common cause of failure for indwelling biomedical devices and implants. As a result, research efforts and commercial developments are focusing on creating better biomaterials that prevent bacterial attachment to surfaces leading to biofilm formation. While chemical methods to combat bacterial infections have been around for over a century in the form of antimicrobials, relatively little is known about how topographical methods can prevent bacterial attachment to surfaces. The reason for this is that micro- and nano-scale fabrication technologies (which are needed to produce topographies on size scales that might be expected to influence bacterial attachment) are fairly recent developments. In this thesis work, microscale topographies were developed for probing and influencing bacterial attachment to surfaces using dynamic-mask multiphoton lithography. Multiphoton lithography is an inherently three-dimensional fabrication technique. When combined with the dynamic-mask-based technology developed in the Shear laboratory, it allows for rapid prototyping of 3D structures of arbitrary complexity with submicron resolution in the radial dimension. A variety of topographical approaches for influencing bacterial attachment of Pseudomonas aeruginosa cells were explored within this work. P. aeruginosa was selected as a model organism for biofilm formation and because it is commonly isolated from infections associated with biomedical implant devices. Topographical approaches included the design of topographies based on microscale surfaces of naturally-antifouling leaves and mathematical functions, pillars, and surfaces containing various sizes and geometries of holes. Challenges relating to an imaging artifact caused by light scattering induced by the surfaces shed light on issues associated with assessing bacterial attachment levels on microscale topographical surfaces. Finally, future directions for this work are presented with ideas that extend into the nanoscale regime. / text
97

Applications of self-assembly : liquid crystalline semiconductors and DNA-conjugated microparticles

Tang, Hao, 1985- 07 November 2013 (has links)
Self-assembly provides an efficient way to build complex structures with great flexibility in terms of components and properties. This dissertation presents two different forms of self-assembly for technical applications. The first example is the molecular assembly of liquid crystals (LCs). Attaching appropriate side chains on anthracene, oligothiophene, and oligoarenethiophene successfully constructed liquid crystalline organic semiconductors. The phase transitions of the LC semiconductors were analyzed by differential scanning calorimetry (DSC) and polarized optical microscopy (POM). The effect of the LC phase change on charge transport was probed by the space-charge limited current (SCLC) method and the field-effect transistor (FET) method. Mobility in the LC phase rose in anthracenyl esters but decreased in oligothiophenes and oligoarenethiophenes. The different electronic behavior of LC semiconductors may be caused by the difference in domain size and/or the difference in response to electric field. The second example of self-assembly in this dissertation is DNA-guided self-assembly of micrometer-sized particles. Patternable bioconjugation polymers were synthesized to allow for lithographic patterning and DNA conjugation. The base pairing of DNA was then used to drive the self-assembly of DNA-conjugated particles. The DNA conjugation chemistry was studied in detail using a fluorescence-based reaction test platform. The conjugated DNA on the polymer surface retained its ability to hybridize with its complement and was efficient in binding microspheres with complementary strands. Highly specific bead-to-bead assembly was analyzed using imaging flow cytometry, and the fractions of self-assembly products were explained on the basis of chemical equilibrium. The process of particle fabrication using photolithography was successfully developed, and the self-assembly of lithographically-patterned particles was demonstrated. We envision that the technologies described in this dissertation will be useful in a variety of fields ranging from microelectronics to biotechnology. / text
98

Advanced materials for block copolymer lithography

Bates, Christopher Martin 11 July 2014 (has links)
The multi-billion dollar per year lithography industry relies on the fusion of chemistry, materials science, and engineering to produce technological innovations that enable continual improvements in the speed and storage density of microelectronic devices. A critical prerequisite to improving the computers of today relies on the ability to economically and controllably form thin film structures with dimensions on the order of tens of nanometers. One class of materials that potentially meets these requirements is block copolymers since they can self-assemble into structures with characteristic dimensions circa three to hundreds of nanometers. The different aspects of the block copolymer lithographic process are the subject of this dissertation. A variety of interrelated material requirements virtually necessitate the synthesis of block copolymers specifically designed for lithographic applications. Key properties for the ideal block copolymer include etch resistance to facilitate thin film processing, a large interaction parameter to enable the formation of high resolution structures, and thin film orientation control. The unifying theme for the materials synthesized herein is the presence of silicon in one block, which imparts oxygen etch resistance to just that domain. A collection of silicon-containing block copolymers was synthesized and characterized, many of which readily form features on approximately the length scale required for next-generation microelectronic devices. The most important thin film processing step biases the orientation of block copolymer domains perpendicular to the substrate by control of interfacial interactions. Both solvent and thermal annealing techniques were extensively studied to achieve orientation control. Ultimately, a dual top and bottom surface functionalization strategy was developed that utilizes a new class of "top coats" and cross-linkable substrate surface treatments. Perpendicular block copolymer features can now be produced quickly with a process amenable to existing manufacturing technology, which was previously impossible. The development of etching recipes and pattern transfer processes confirmed the through-film nature of the features and the efficacy of both the block copolymer design and the top coat process. / text
99

Fluid management in immersion and imprint microlithography

Bassett, Derek William 31 January 2011 (has links)
The important roles of fluid dynamics in immersion lithography (IL) and step-and-flash imprint lithography (S FIL) are analyzed experimentally and theoretically. In IL there are many challenges with managing a fluid droplet between the lens and the wafer, including preventing separation of the fluid droplet from the lens and deposition of small droplets behind the lens. Fluid management is also critical in S FIL because the imprint fluid creates capillary and lubrication forces, both of which are primarily responsible for the dynamics of the template and fluid motion. The fluid flow and shape of the wafer determine how uniform the gap height between the wafer and the template is, and they affect the resistance during the alignment phase. IL was investigated as a methodology to improve laser lithography for making photomasks. The fluid flow in IL was investigated by building a test apparatus to simulate the motion of the fluid droplet during microlithographic production, and using this apparatus to conduct experiments on various immersion fluids and wafer topcoats to determine what instabilities would occur. A theoretical model was used to predict the fluid separation instabilities. Finite element simulations were also used to model the fluid droplet, and these simulations accurately predict the fluid instabilities and quantitatively agreed with the model and experiments. It is shown that the process is viable: capillary forces are sufficient to keep the fluid droplet stable, heating effects due to the laser are negligible, and other concerns such as evaporation and dissolution are manageable. Euler beam theory and the lubrication equation were used to model the bending of an S FIL template and the flow of the fluid between the template and a non-flat wafer. The template filling time, conformance of the template to the wafer, and the alignment phase are investigated with an analytical model and finite element simulations. Analysis and simulations show that uniformity of the residual film thickness and ease of proper alignment depend greatly on the planarity of the wafer, the properties of the template, and the surface tension of the fluid. / text
100

Materials development for step and flash imprint lithography

Jacobsson, Borje Michael 23 September 2011 (has links)
The quest for smaller and faster integrated circuits (ICs) continues, but traditional photolithography, the patterning process used to fabricate them, is rapidly approaching its physical limits. Step and Flash Imprint Lithography (S-FIL®) is a low-cost patterning technique which has shown great potential for next generation semiconductor manufacturing. To date, all methods of imprint lithography have utilized a sacrificial resist to produce device features. Our goal has been to develop functional materials such as insulators that can be directly patterned by S-FIL and then remain as a part of the end product. Directly patternable dielectric (DPD) materials must meet multiple mechanical and physical requirements for application in microelectronic devices. In some cases these requirements are conflicting, which leads to material design challenges. Many different materials and curing methods have been evaluated. Thiol-ene based approaches to patterning hyperbranched materials incorporating Polyhedral Oligomeric Silsesquioxanes (POSS) have shown the greatest promise. Thiol-ene polymerization takes place by a free radical mechanism, but it has the advantage over acrylates of not being inhibited by the presence of oxygen. This greatly eases some engineering design challenges for the S-FIL process. A number of thiol-ene formulations have been prepared and their mechanical and electrical properties evaluated. SFIL-R has been introduced as an alternative technology to SFIL. SFIL-R offers improvements to SFIL in several ways, but requires a high silicon content, low viscosity, planarizing material. Photopolymerizable branched siloxanes were synthesized and evaluated to function as a planarizing topcoat for this technology. Both SFIL and SFIL-R require a clean separation of the template from the resist material. Fouling of templates is a major concern in imprint lithography and fluorinated materials are used to treat templates to lower their surface energy for better separation. It has been observed that the template treatment degrades over time and needs to be replaced for further imprinting. A fluorinated silazane was designed to repair the degraded areas. This material was evaluated and functions as designed. / text

Page generated in 0.056 seconds