• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 24
  • 7
  • 2
  • 1
  • 1
  • 1
  • Tagged with
  • 39
  • 33
  • 30
  • 10
  • 10
  • 9
  • 6
  • 6
  • 5
  • 4
  • 4
  • 4
  • 4
  • 4
  • 3
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
21

Tribochemical investigation of microelectronic materials

Kulkarni, Milind Sudhakar 02 June 2009 (has links)
To achieve efficient planarization with reduced device dimensions in integrated circuits, a better understanding of the physics, chemistry, and the complex interplay involved in chemical mechanical planarization (CMP) is needed. The CMP process takes place at the interface of the pad and wafer in the presence of the fluid slurry medium. The hardness of Cu is significantly less than the slurry abrasive particles which are usually alumina or silica. It has been accepted that a surface layer can protect the Cu surface from scratching during CMP. Four competing mechanisms in materials removal have been reported: the chemical dissolution of Cu, the mechanical removal through slurry abrasives, the formation of thin layer of Cu oxide and the sweeping surface material by slurry flow. Despite the previous investigation of Cu removal, the electrochemical properties of Cu surface layer is yet to be understood. The motivation of this research was to understand the fundamental aspects of removal mechanisms in terms of electrochemical interactions, chemical dissolution, mechanical wear, and factors affecting planarization. Since one of the major requirements in CMP is to have a high surface finish, i.e., low surface roughness, optimization of the surface finish in reference to various parameters was emphasized. Three approaches were used in this research: in situ measurement of material removal, exploration of the electropotential activation and passivation at the copper surface and modeling of the synergistic electrochemical-mechanical interactions on the copper surface. In this research, copper polishing experiments were conducted using a table top tribometer. A potentiostat was coupled with this tribometer. This combination enabled the evaluation of important variables such as applied pressure, polishing speed, slurry chemistry, pH, materials, and applied DC potential. Experiments were designed to understand the combined and individual effect of electrochemical interactions as well as mechanical impact during polishing. Extensive surface characterization was performed with AFM, SEM, TEM and XPS. An innovative method for direct material removal measurement on the nanometer scale was developed and used. Experimental observations were compared with the theoretically calculated material removal rate values. The synergistic effect of all of the components of the process, which result in a better quality surface finish was quantitatively evaluated for the first time. Impressed potential during CMP proved to be a controlling parameter in the material removal mechanism. Using the experimental results, a model was developed, which provided a practical insight into the CMP process. The research is expected to help with electrochemical material removal in copper planarization with low-k dielectrics.
22

Tribochemical investigation of microelectronic materials

Kulkarni, Milind Sudhakar 02 June 2009 (has links)
To achieve efficient planarization with reduced device dimensions in integrated circuits, a better understanding of the physics, chemistry, and the complex interplay involved in chemical mechanical planarization (CMP) is needed. The CMP process takes place at the interface of the pad and wafer in the presence of the fluid slurry medium. The hardness of Cu is significantly less than the slurry abrasive particles which are usually alumina or silica. It has been accepted that a surface layer can protect the Cu surface from scratching during CMP. Four competing mechanisms in materials removal have been reported: the chemical dissolution of Cu, the mechanical removal through slurry abrasives, the formation of thin layer of Cu oxide and the sweeping surface material by slurry flow. Despite the previous investigation of Cu removal, the electrochemical properties of Cu surface layer is yet to be understood. The motivation of this research was to understand the fundamental aspects of removal mechanisms in terms of electrochemical interactions, chemical dissolution, mechanical wear, and factors affecting planarization. Since one of the major requirements in CMP is to have a high surface finish, i.e., low surface roughness, optimization of the surface finish in reference to various parameters was emphasized. Three approaches were used in this research: in situ measurement of material removal, exploration of the electropotential activation and passivation at the copper surface and modeling of the synergistic electrochemical-mechanical interactions on the copper surface. In this research, copper polishing experiments were conducted using a table top tribometer. A potentiostat was coupled with this tribometer. This combination enabled the evaluation of important variables such as applied pressure, polishing speed, slurry chemistry, pH, materials, and applied DC potential. Experiments were designed to understand the combined and individual effect of electrochemical interactions as well as mechanical impact during polishing. Extensive surface characterization was performed with AFM, SEM, TEM and XPS. An innovative method for direct material removal measurement on the nanometer scale was developed and used. Experimental observations were compared with the theoretically calculated material removal rate values. The synergistic effect of all of the components of the process, which result in a better quality surface finish was quantitatively evaluated for the first time. Impressed potential during CMP proved to be a controlling parameter in the material removal mechanism. Using the experimental results, a model was developed, which provided a practical insight into the CMP process. The research is expected to help with electrochemical material removal in copper planarization with low-k dielectrics.
23

Control Of Slurry Flow, Temperature And Aggressive Diamonds In Chemical Mechanical Planarization

Wu, Changhong January 2015 (has links)
This dissertation presents a series of studies related to the study and control of slurry flow, process temperature, and aggressive diamonds in Chemical Mechanical Planarization (CMP). The purpose of these studies is to better understand the fundamentals of CMP and to explore solutions to some of CMP’s greatest challenges. Within-wafer removal rate non-uniformity (WIWRRNU) is a critical parameter to determine film thickness planarity on a wafer-scale level and it grossly impacts yield. Resolving this issue continues to be an area of intense focus in the industry. The first study in this dissertation shows the feasibility of adopting a new method to improve WIWRRNU during copper CMP that is solely based on intentional local temperature manipulation of the pad. A pad surface thermal management system is developed to locally change pad surface temperature. This system consists of one or more thermal transfer modules contacting the pad surface. In this study, the system is employed to adjust the "center-fast" copper removal rate profile to illustrate its effect during the process. Results shows that, when two thermal transfer modules are employed, local removal rates in the wafer center region decrease significantly while maintaining the removal rates near the wafer edge thereby significantly improving WIWRRNU. Another contribution of this dissertation is the investigation of the effect of pad groove design on slurry injection scheme during interlayer dielectric CMP. A novel slurry injector with multiple slurry outlets is designed, which provides optional slurry injection schemes (i.e. one injection point scheme and multi-injection point scheme). These schemes are compared with the standard slurry application method on a concentrically grooved pad and an xy-groove pad, respectively. On the concentrically grooved pad, the one injection point scheme generates significantly higher oxide removal rates (ranging from 22 to 35 percent) compared to the standard slurry application method at different slurry flow rates. On the xy-groove pad, the one injection point scheme still results in higher removal rates (ranging from 3 to 9 percent), however, its removal rate enhancement is not as high as that of the concentrically grooved pad. In order to further improve slurry availability on the xy-groove pad, the multi-injection point scheme is tested. Results show that the multi-injection point scheme results in significantly higher removal rates (ranging from 17 to 20 percent) compared to the standard slurry application method. This work underscores the importance of optimum slurry injection schemes for accommodating particular groove designs. The last contribution of this dissertation involves a study regarding aggressive diamond characterization and wear analysis during CMP. A 3M A3700 diamond disk is used to condition a Cabot Microelectronics Corporation (CMC) D100 pad for 30 hours. The top 20 aggressive diamonds for two perpendicular disk orientations are identified before the polishing, as well as after 15- and 30-hour polishing. The furrow surface area generated by these top 20 aggressive diamonds and their evolution are analyzed and compared. Results show that the original top 20 aggressive diamonds identified before polishing are subjected to wear after the first 15-hour polishing as the furrow surface area that they generate decreases dramatically (by 47%). As these original aggressive diamonds are worn, seven new aggressive diamonds are "born" and join the new top 20 list for both disk orientations. After the second 15-hour wafer polishing, the furrow surface area of these new top 20 aggressive diamonds do not change significantly. The furrow surface area created by all the active diamonds exhibits the same trend as the top 20 aggressive diamonds, confirming that most pad conditioning work is performed by these aggressive diamonds and that the disk loses its aggressiveness in the first 15 hours of polishing and then maintains its aggressiveness during the second 15 hours, albeit to a lesser extent.
24

Electro-kinetically enhanced nano-metric material removal

Blackburn, Travis Lee 25 August 2008 (has links)
This project is a fundamental proof of concept to look at the feasibility of using field activated abrasive particles to achieve material removal on a substrate. There are a few different goals for this project. The first goal is to prove through visualization that particle movement can be influenced and controlled by changes in electric field. The second goal is to fundamentally prove that particles controlled by electric field can remove material from a substrate. Third, it should be shown that changes in electric field can control the amount of material being removed in a given amount of time. A mathematical model will be presented which predicts metallic material removal rates based on changes in electric field strength. In this project, a technique combining concepts from electrokinetics, electrochemical mechanical planarization, and contact mechanics is proposed, aiming at enhancing planarization performance. By introducing an AC electric field with a DC offset, we try to achieve not only a better control of metallic material removal but also more flexible manipulation of the dynamic behaviour of abrasive particles. The presence of electric field will lead to electrokinetic phenomena including electroosmotic flow of an electrolyte solution and electrophoretic motion of abrasive particles. As a result, we aim to improve both the mechanical performance of planarization that is largely determined by the polishing parameters (e.g. down pressure, rotation speed, pads, and types of abrasives) and the chemical performance of planarization that is governed by selective and collective reactions of different chemical ingrediants of the slurry with the sample surface. The aim is also to understand and improve the interactions of abrasive particles with the sample.
25

Electro-kinetically enhanced nano-metric material removal

Blackburn, Travis Lee. January 2008 (has links)
Thesis (M. S.)--Mechanical Engineering, Georgia Institute of Technology, 2009. / Committee Chair: Danyluk, Steven; Committee Member: Butler, David; Committee Member: Hesketh, Peter; Committee Member: Yoda, Minami. Part of the SMARTech Electronic Thesis and Dissertation Collection.
26

Electrical recommendations and formulas for metal fill in radio-frequency integrated circuits /

Gaskill, Steven (Steven Gary) January 1900 (has links)
Thesis (M.S.)--Oregon State University, 2011. / Printout. Includes bibliographical references (leaves 85-91). Also available on the World Wide Web.
27

Advanced CMP processes for special substrates and for device manufacturing in MEMS applications /

Kulawski, Martin. January 1900 (has links) (PDF)
Thesis (doctoral)--VTT Micronova, 2006. / Includes bibliographical references. Also available on the World Wide Web.
28

Slurry Injection Schemes on the Extent of Slurry Mixing and Availability during Chemical Mechanical Planarization

Bahr, Matthew, Sampurno, Yasa, Han, Ruochen, Philipossian, Ara 29 May 2017 (has links)
In this study, slurry availability and the extent of the slurry mixing (i.e., among fresh slurry, spent slurry, and residual rinse-water) were varied via three different injection schemes. An ultraviolet enhanced fluorescence technique was employed to qualitatively indicate slurry availability and its flow on the pad during polishing. This study investigated standard pad center area slurry application and a slurry injection system (SIS) that covered only the outer half of the wafer track. Results indicated that the radial position of slurry injection and the alteration of fluid mechanics by the SIS played important roles in slurry mixing characteristics and availability atop the pad. Removal rates were found to decrease with slurry availability, while a higher degree of slurry mixing decreased the fraction of fresh slurry and consequently lowered the removal rate. By using a hybrid system (i.e., a combination of slurry injection via SIS and standard pad center slurry application), the polishing process benefited from higher slurry availability and higher fraction of fresh slurry than the conventional pad center slurry application and the shorter SIS, individually. This work underscores the importance of optimum slurry injection geometry and flow for obtaining a more cost-effective and environmentally benign chemical mechanical planarization process.
29

Interfacial Electrochemistry of Copper and Spectro-Electrochemical Characterization of Oxygen Reduction Reaction

Yu, Kyle Kai-Hung 08 1900 (has links)
The first part of this dissertation highlights the contents of the electrochemical characterization of Cu and its electroplating on Ru-based substrates. The growth of Ru native oxide does diminish the efficiency of Cu plating on Ru surface. However, the electrochemical formed irreversible Ru hydrate dioxide (RuOxHy) shows better coverage of Cu UPD. The conductive Ru oxides are directly plateable liner materials as potential diffusion barriers for the IC fabrication. The part II of this dissertation demonstrates the development of a new rapid corrosion screening methodology for effective characterization Cu bimetallic corrosion in CMP and post-CMP environments. The corrosion inhibitors and antioxidants were studied in this dissertation. In part III, a new SEC methodology was developed to study the ORR catalysts. This novel SEC cell can offer cheap, rapid optical screening results, which helps the efficient development of a better ORR catalyst. Also, the SEC method is capable for identifying the poisoning of electrocatalysts. Our data show that the RuOxHy processes several outstanding properties of ORR such as high tolerance of sulfation, high kinetic current limitation and low percentage of hydrogen peroxide.
30

Surface planarization of Cu and CuNiSn Micro-bumps embedded in polymer for below 20μm pitch 3DIC applications

De Preter, Inge, Derakhshandeh, Jaber, Heylen, Nancy, Van Acker, Lut, June Rebibis, Kenneth, Miller, Andy, Beyer, Gerald, Beyne, Eric 22 July 2016 (has links)
Planarization techniques such as Surface planer (better known as Fly-cut) and chemical-mechanical polishing (CMP) can be used to improve the bump roughness and bump height uniformity within the die and wafer which can be beneficial for solder based bump stacking and Cu-Cu direct bonding [1]. In this paper the influence of both planarization techniques on 20μm pitch Cu and CuNiSn bumps embedded in polymer are studied. The polymer protects the bumps from the shearing force of the planarization process and will later serve as a underfill material for the resulting gap of a 3D stack. The microbump planarization process will be discussed. Furthermore characterization of the bump height uniformity across the wafer using SEM and High Resolution Profilometry (HRP) is reported.

Page generated in 0.1727 seconds