• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 24
  • 7
  • 2
  • 1
  • 1
  • 1
  • Tagged with
  • 39
  • 33
  • 30
  • 10
  • 10
  • 9
  • 6
  • 6
  • 5
  • 4
  • 4
  • 4
  • 4
  • 4
  • 3
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
11

CFD simulation of contact planarization

Vusirikala, Shanti, January 2007 (has links) (PDF)
Thesis (M.S.)--University of Missouri--Rolla, 2007. / Vita. The entire thesis text is included in file. Title from title screen of thesis/dissertation PDF file (viewed March 25, 2008) Includes bibliographical references (p. 77-79).
12

Methods for Efficient Slurry Utilization and Tribological Stability Analysis in Chemical Mechanical Planarization

Bahr, Matthew, Bahr, Matthew January 2017 (has links)
This thesis presents a series of studies pertaining to tribological, thermal, kinetic and slurry utilization aspects of chemical mechanical planaraization processes. The purpose of this work is to both develop a better method of characterizing the tribological mechanisms during polishing, as well as propose methods by which slurry utilization efficiency can be increased in order to minimize environmental hazards and operational costs associated with polishing without compromising the desired polish outcomes. The first study was conducted using a modified version of the generic Stribeck curve using real-time shear and down force data collection at 1,000 Hz. This investigation served to provide a better understanding of the tribological and thermal mechanisms associated with polishing copper and tungsten blanket wafers on an industrially relevant soft pad. A multitude of gradual yet continuous changes in sliding velocity and polishing pressure were applied during polishing. Results indicated that polishing on the soft pad produced stable coefficient of friction (COF) values entirely within the "boundary lubrication" regime, while copper polishing on a hard pads produced a tremendous spread of data and resulted in both “boundary lubrication” and "mixed lubrication" regimes. In addition, the average pad surface temperature showed a linear relationship with the product of the COF, sliding velocity, and downward pressure for all copper and tungsten polishes on both soft and hard pads. Another study in this thesis investigated slurry availability and the extent of slurry mixing for three different slurry injection schemes. An ultraviolet enhanced fluorescence technique was employed to qualitatively measure slurry film thicknesses atop the pad surface during polishing. This study investigated standard pad-center point slurry dispensing and a slurry injection system (SIS) that covered only the outer half of the wafer track. Results indicated that the radial position of slurry injection and fluid interactions with the SIS greatly influenced slurry mixing and availability atop the pad. Silicon dioxide removal rates were also found to increase as slurry availability increased. Using a combination of standard pad-center slurry dispensing and a half-wafer track SIS resulted in similar silicon dioxide removal rates as standard pad-center slurry dispensing but at a 40% lower slurry flowrate. The final study in this thesis investigated the effects of ultrapure (UPW) water dilution of a ceria-based slurry on silicon dioxide removal rates. Results showed that pre-mixing the slurry and UPW increased the removal rate with dilution up to a slurry to UPW ratio of 1:7.5 due to the increasing presence of Ce3+ via the reduction of Ce4+ by UPW. Further dilution yielded a plateau in the removal rate trend as additional UPW reduced the coefficient of friction (COF) and the temperature during polishing, causing the benefits of increased ceria-silica binding to be offset by mechanical limitations. Mixing the slurry directly at point-of-use at the dispense nozzle resulted in a removal rate trend that was highly similar to pre-mixing, however, removal rates were higher at every dilution ratio. A novel slurry injection system (SIS) was employed at various rotation angles as measured from the leading edge. The SIS angles produced different retaining ring bow wave thicknesses, which led to varying extents of dilution and, by extension, removal rates. The SIS at -8° produced the highest removal rates of all angles. A third dilution ratio test was performed using point-of-use mixing through the SIS at the optimum angle of -8°, which resulted in a similar removal rate trend as pre-mixing and pad-center dispense point-of use mixing, but with dramatically higher removal rates at each dilution ratio. The ability to attain higher removal rates could potentially allow integrated circuit (IC) manufacturers to either reduce polishing times or reduce slurry consumption, subsequently reducing slurry waste and creating a more environmentally benign semiconductor manufacturing process.
13

Design and Fabrication of High-Speed 25Gb/s Directly Modulated DFB Semiconductor Laser Diode

Wu, Yu-lun 15 August 2012 (has links)
With a rapid increase in information capacity of Internet access, high-speed, highly-efficiency, and cost-effectiveness laser source for optical fiber communication is required. High-speed 25Gb/s directly modulated laser is essential of this communication range, because of its simple structure, direct-modulation characteristics, low cost, and integration capability for wavelength division multiplexing (WDM) system, and moreover, it can achieved 100Gb/s data transmission by four channel module system. In this work, data modulation speed of 25Gb/s direct modulation DFB laser has been achieved. By employing high-speed coplanar waveguide structure with semi-insulating substrate, high-speed with f3dB > 20GHz has been demonstrated. By the electrical reflection measurement, it confirmed that the high-speed direction modulation can be realized through reduction of electrical parasitics. The laser chips is measured under continuous-wave mode at room temperature. In 1300nm and 1550nm wavelength device, slope efficiency obtained by taper fiber coupled of 0.045 and 0.07mW/mA respectively, output power up to 2.73 and 3.96mW/facet at 60mA. The Side Mode Suppression Ratio was greater than 35dB. 3dB bandwidth of greater than 16GHz and 20.5GHz, relaxation oscillation frequency of 12GHz and 16.6GHz. Finally, clearly back-to-back 25Gb/s eye diagram and error-floor-free performance were obtained.
14

Tribological, Kinetic and Thermal Characteristics of Copper Chemical Mechanical Planarization

Li, Zhonglin January 2005 (has links)
Copper polishing performance depends significantly on the properties of pads, slurries, conditioning, pressure, sliding velocity, slurry flow rate and temperature. A slight variance in each of these parameters will cause significant change in polising results. Various investigations are performed during this study to understand the effect of consumables and other main operating parameters on copper polishing in terms of removal rate, lubrication mechanism, and temperature transients. A modified two-step Langmuir-Hinshelwood removal rate model and a flash heating thermal model are developed to describe the removal mechanism.Results indicate that grain size plays an important role during copper polishing. Smaller grain size may enhance the chemical rate by providing a higher density of favorable reaction sites. However, denser grain boundaries due to smaller grain size may reduce the mechanical rate by increasing the probability of disruption of three body sliding contact. It is found that removal rate increases as slurry flow increases from 60 to 80 cc/min because higher slurry flow rate can provide more reactants to the system. Then removal rate decreases as slurry flow rate is further increased to 140 cc/min, which is due to synergic effects of the wafer temperature, slurry flow and slurry residence time under the wafer. The observed removal rate drop is thought to be due to the change of the wafer temperature at high sliding velocity.Experimental results from eight slurry formulations with various abrasize size and content show that in the case of 13-nm abrasives, the dominant tribological mechanism is that of partial lubrication, while in the case of 35-nm abrasives, the dominant tribological mechanism is that of boundary lubrication. COF values of the slurry with surfactant are generally lower that those of the slurry without surfactant.Logarithmic spiral positive pad, whose spiral groove is at a slight angle to the pad rotation direction, shows the highest average COF. The radial pad results in the smallest average COF. For all types of the grooved pads investigated, CMP is mechanically limited at low pV, and chemically limited at high pV. Non-Prestonian behavior is thought to be due to variations of COF and substrate temperatures.Dual Emission UV Light Enhanced Fluorescence results indicate that during polishing the wafer is tilted towards the center of the pad and that the extent of wafer tilt is a strong function of diamond disc pressure. Increasing the oscillation frequency of the diamond disc or the rotation rate decreases slurry film thickness. Slurry film thickness increases with the slurry flow rate. Also slurry film thickness strongly depends on diamond disc design.
15

Fundamental Consumables Characterization of Advanced Dielectric and Metal Chemical Mechanical Planarization Processes

Sampurno, Yasa January 2008 (has links)
This dissertation presents a series of studies relating to kinetics and kinematics of inter-layer dielectric and metal chemical mechanical planarization processes. These are also evaluated with the purposes of minimizing environmental and cost of ownership impact.The first study is performed to obtain the real-time substrate temperature during the polishing process and is specifically intended to understand the temperature distribution across the polishing wafer during the chemical mechanical planarization process. Later, this technique is implemented to study the effect of slurry injection position for optimum slurry usage. It is known that the performance of chemical mechanical planarization depends significantly on the polishing pad and the kinematics involved in the process. Variations in pad material and pad grooving type as well as pressure and sliding velocity can affect polishing performance. One study in this dissertation investigates thermoset and thermoplastic pad materials with different grooving methods and patterns. The study is conducted on multiple pressure and sliding velocity variations to understand the characteristic of each pad. The analysis method elaborated in this study can be applied generically.A subsequent study focuses in a slurry characterization technique. Slurry, a critical component in chemical mechanical planarization, is typically a water-based dispersion of fine abrasive particles with various additives to control material removal rate and microscratches. Simultaneous turbidity and low angle light scattering methods under well-defined mixing conditions are shown to quantify the stability of abrasive particle from aggregations. Further contribution of this dissertation involves studies related to the spectral analysis of raw shear force and down force data obtained during chemical mechanical planarization. These studies implemented Fast Fourier Transforms to convert force data from time to frequency domain. A study is performed to detect the presence of larger, defect-causing particles during polishing. In a further application on diamond disc conditioning work is performed to achieve optimum break-in time and an optimum conditioning duty cycle. Studies on spectral analysis are also extended to planarization of shallow trench isolation pattern wafers to monitor the polishing progress in real-time.
16

Achieving High Rates and High Uniformity in Copper Chemical Mechanical Polishing

Nolan, Lucy M Unknown Date
No description available.
17

Fundamental Characterization of Chemical Mechanical Planarization Relating to Slurry Dispensing and Conditioning Method

Han, Ruochen, Han, Ruochen January 2017 (has links)
The first part of our study introduces a new method for rapidly generating an "improved" Stribeck curve (i.e. Stribeck+ curve) that, compared to traditional Stribeck curves, shows a more complete tribological picture of the chemical mechanical planarization (CMP) process. The method significantly reduces the consumables and time required to obtain the curve compared to traditional means. Results of the Stribeck+ curve are consistent with individual tests using several different consumables combinations. All copper CMP Stribeck+ examples clearly indicate the lubrication mechanism and transitions thereof between different polishing conditions. Variability in COF as well as a much wider range in U/P are also explored. In the second part of our study, the Stribeck+ curve is successfully applied to silicon dioxide CMP processes to characterize the tribology of such processes under different process conditions and consumables. Results show our Stribeck+ curve methodology to be capable of rapidly determining and differentiating the tribological mechanism among all cases studied. The Stribeck+ curve helps indicate process stability as shown by the spread of the COF vertical clusters. The Stribeck+ curve also confirms a previously known effect that the greater the ratio of pad’s up-features to the total pad area, the greater the probability of wafer hydroplaning. As the third part of our study, we investigate the effect of different pad surface micro-textures on the tribological, thermal and kinetic attributes during copper CMP. Different micro-textures are generated by two different chemical vapor deposited (CVD) diamond-coated conditioner discs (i.e. Disc A and Disc B). Results show that while pad temperature and removal rate increase with polishing pressure and sliding velocity on both discs, Disc B generates consistently lower removal rates and COF than Disc A. To fundamentally elucidate the cause(s) of such differences, pad surface contact area and topography are analyzed using laser confocal microscopy. The comparison of the pad surface micro-texture analysis indicates that Disc A causes a pad surface with a smaller abruptness (λ) and much more solid contact area which results in a higher removal rate. In contrast, Disc B generates less contact areas and COF. A two-step modified Langmuir–Hinshelwood model is employed to simulate copper removal rates as well as chemical and mechanical rate constants. The simulated chemical to mechanical constant ratios indicate that Disc A produces a more mechanically limited process under all conditions tested. In the fourth part of our study, the position of a slurry injection system (SIS) is optimized to achieve a more cost-effective and environmentally benign CMP process using a widely-adopted ceria-based "reverse slurry". Here, SIS is configured with different angles in order to investigate slurry dilution characteristics caused by residual pad rinsing with ultrapure water (UPW) that is known to affect silicon dioxide removal. UPW dilution effect on removal rate, coefficient of friction and pad surface temperature is explained by maintaining a constant dilution ratio for each of the SIS configuration tests. Results indicate that SIS negative rotation angles increase the actual slurry dilution ratio on top of the polishing pad. This generates more Ce3+ which boosts removal rates. Application of negatively rotated SIS allows significantly lower slurry flow rates and/or shorter polishing times leading to more environmental friendly semiconductor manufacturing processes. Finally, it is confirmed that variations in SIS configuration has no impact on silicon dioxide to silicon nitride removal rate selectivity. In the fifth and final part of our study, the silicon dioxide removal rate using a "reverse" ceria-based slurry is investigated under four different combinations of conditioning modes and slurry application methods. In a “reverse” slurry, addition of water acts to promote material removal. Overall, the process using ex-situ conditioning with the SIS results in the highest removal rate, while the process using in-situ conditioning with the conventional point application (PA) generates the lowest removal rate. This study explains the differences in silicon dioxide removal rate based on the variations of the actual slurry dilution ratio on the pad associated with conditioning and slurry application methods. Frictional analysis and Stribeck+ curves are employed to elucidate the tribological characteristics. Results show that the conditioning modes and the slurry application methods vary the extent of the polishing vibrations. Silicon dioxide removal rate is found to linearly correlate with the extent of COF fluctuation. The work underscores the importance of optimum slurry flow dynamics and injection geometry to obtain a more cost-effective and environmentally benign CMP process.
18

Optimization of Polishing Kinematics and Consumables during Chemical Mechanical Planarization Processes

Meled, Anand January 2011 (has links)
This dissertation presents a series of studies relating to optimization of kinematics and consumables during chemical mechanical planarization processes. These are also evaluated with the purpose of minimizing environmental and cost of ownership impacts.In order to study diamond micro-wear and substrate wear during planarization processes, a series of static etch tests and wear tests were performed using different types of diamond discs and subjected to various treatments. Scanning Electron Microscopy (SEM) and Inductively Coupled Plasma Membrane Spectroscopy (ICPMS) were used to estimate the extent of diamond micro-wear and substrate wear.Next, the impact of various factors (type of slurry abrasive, pH, abrasive content and abrasive concentration) on pad wear rate during planarization process was studied. Another study in this dissertation focuses on the development of a novel technique of using coefficient of friction (COF) data to distinguish between good and bad diamond discs. This study made use of the innovative tool diamond disc dragging device (DDD-100) designed and developed for the purpose of this study.It is known that the performance of chemical mechanical planarization depends significantly on the polishing pad grooving type and the kinematics involved in the process. Variations in pad grooving type as well as pressure and sliding velocity can affect polishing performance. One study in this dissertation investigates the effect of pressure and sliding velocity on the polishing performance. The study is conducted on multiple pressure and sliding velocity variations to understand the characteristic of each condition. A subsequent study focuses on the impact of pad grooving type on polishing performance.The greatest contribution of this dissertation involves development of the novel slurry injector to optimize the utilization of slurry during planarization processes. Slurry is a critical component in chemical mechanical planarization processes and accounts for approximately 50 percent of the cost of ownership (CoO). The novel injector apart from reducing the consumption of slurry, also contributed in addressing problems associated with foaming, reduced the number of defects and achieved better within wafer non-uniformity (WIWNU).
19

Développement de procédés de mesure spatialement résolue de la nano-topographie sur distances centimétriques : application au polissage mécano-chimique / Development of spatially resolved metrology processes of nano-topography over centimetric distances : application to chemical mechanical polishing

Dettoni, Florent 21 October 2013 (has links)
Le polissage mécano-chimique (CMP) en raison de spécifications sévères, telles que l‘aplanissement de la surface à ± 5 nm, est devenu un enjeu crucial pour le développement des nœuds technologiques 14 nm et au-delà. Les méthodes actuelles de caractérisation de la topographie, limitées en termes de taille de surface analysée, évaluent l'efficacité des procédés sur des structures nommées boites de mesure. Ces structures mesurent 100 µm x 50 µm et sont situées entre les circuits intégrés. Elles sont donc non représentatives de la topographie de la puce et, de ce fait, des procédés de métrologie topographique de la puce sont requis. Dans un premier temps, nous montrons que la microscopie interférométrique est capable de caractériser la nano-topographie sur des distances centimétriques avec une résolution latérale micrométrique. La caractérisation par microscopie interférométrique de la nano-topographie induite par les procédés de CMP montre que les méthodes actuelles fournissent des valeurs topographiques non représentatives de la puce. Une méthodologie associée à ce nouveau type de caractérisation est proposée et discutée. Dans un deuxième temps, nous montrons que la diffusion de la lumière permet un contrôle rapide (trois minutes par plaques) et non destructif de variations nanométriques de la topographie de grilles de quelques dizaines de nanomètres de large sur toute la plaque. / Chemical Mechanical Polishing (CMP), because of narrower specifications, as surface planarization at ± 5 nm, is becoming a critical process for the development of the 14 nm technology node and beyond. Habitual topographic characterization techniques, limited in acquisition area, appraise processes efficiency through structures called test boxes. Those structures have a size equal to 100 µm by 50 µm and they are located, in the scribe lines, between the chips. Consequently, they are not representative of the die level topography and die level topographic metrology processes are required. In a first time, we show that interferometric microscopy is able to characterize nano-topography over centimetric distances with micrometric lateral resolution. Interferometric microscopy characterization of CMP processes induced nano-topography demonstrates that usual methods provide non representative die level topography values. A new characterization kind related methodology is proposed and discussed. In a second time, we show that diffused light measurement allows fast (three minutes/wafer) and non-destructive control of gate nano-topography variations for pattern widths of some tenths of nanometer.
20

Novel CMOS-Compatible Optical Platform

Pitera, Arthur J., Groenert, M. E., Yang, V. K., Lee, Minjoo L., Leitz, Christopher W., Taraschi, G., Cheng, Zhiyuan, Fitzgerald, Eugene A. 01 1900 (has links)
A research synopsis is presented summarizing work with integration of Ge and III-V semiconductors and optical devices with Si. III-V GaAs/AlGaAs quantum well lasers and GaAs/AlGaAs optical circuit structures have been fabricated on Si using Ge/GeSi/Si virtual substrates. The lasers fabricated on bulk GaAs showed similar output characteristics as those on Si. The GaAs/AlGaAs lasers fabricated on Si emitted at 858nm and had room temperature cw lifetimes of ~4hours. Straight optical links integrating an LED emitter, waveguide and detector exhibited losses of approximately 144dB/cm. A process for fabrication of a novel CMOS-compatible platform that integrates III-V or Ge layers with Si is demonstrated. Thin Ge layers have been transferred from Ge/GeSi/Si virtual substrates to bulk Si utilizing wafer bonding and an epitaxial Si CMP layer to facilitate virtual substrate planarization. A unique CMP-less method for removal of Ge exfoliation damage induced by the SmartCut™ process is also presented. / Singapore-MIT Alliance (SMA)

Page generated in 0.0744 seconds