• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 4
  • 1
  • Tagged with
  • 5
  • 5
  • 3
  • 3
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Process and reliability assessment of plasma-based copper etch process

Liu, Guojun 15 May 2009 (has links)
The plasma-based etching processes of copper (Cu) and titanium tungsten (TiW) thin films, and the electromigration of the copper lines patterned by above etching processes were studied. Instead of vaporizing the plasma/copper reaction product, a dilute hydrogen chloride solution was used to dissolve the nonvolatile reaction product. The plasma/copper reaction process was affected by many factors including the microstructure of the copper film and the plasma conditions. Under the same chlorine plasma exposure condition, the copper conversation rate and the copper chloride (CuClx) formation rate increased monotonically with the Cu grain size. The characteristics of the Cu etching process were explained by diffusion mechanisms of Cl and Cu in the plasmacopper reaction process as well as microstructures of Cu and CuClx. The Cu chlorination process was also affected by the additive gas in the Cl2 plasma. The additive gas, such as Ar, N2, and CF4, dramatically changed the plasma phase chemistry, i.e., the Cl concentration, and the ion bombardment energy, which resulted in changes of the Cu chlorination rate and the sidewall roughness. TiW thin films, used as the diffusion barrier layer for the Cu film, were reactive ion etched with CF4/O2, CF4/Cl2, and CF4/HCl plasma. Process parameter such as feed gas composition, RF power, and plasma pressure showed tremendous effects on the etch rate and the etch selectivity. The TiW etch rate was a function of the sum of Cl and F concentrations and the ion bombardment energy. Cu/diffusion barrier metal stack was successfully patterned by above plasma etch processes. The electromigration (EM) performance of the Cu lines was evaluated by the accelerated isothermal test. The activation energy of 0.5~0.6 eV and the current density exponent of 2.7 were obtained. Failure analysis showed that both copper-silicon nitride cap layer interface and the copper grain boundary were active diffusion paths. The EM induced stress caused the cap layer crack and affected the reliability of Cu lines. The processes studied in this dissertation can be applied in advanced microelectronic fabrication including large area flexible microelectronics.
2

THE FABRICATION OF A PHOTONIC CRYSTAL BASED THREE CHANNEL WAVELENGTH DIVISON DEMULTIPLEXER (WDDM) DEVICE

Cao, Siwei 28 August 2008 (has links)
No description available.
3

Characterization and modeling of dry etch processes for titanium nitride and titanium films in Cl₂/N₂ and BCl₃ plasmas

Muthukrishnan, N. Moorthy 06 June 2008 (has links)
In the past few years, the demands for high speed semiconductor integrated circuits have warranted new techniques in their fabrication process which will meet the ever-shrinking dimensions. The gaseous plasma assisted etching is one of these revolutionary processes. However, the plasma and the etch process are very complex in nature. It has been very difficult to understand various species present in the plasma and their role in the etch reaction. In addition, the submicron geometries also require interconnect materials which will satisfy the necessary properties such as thermal stability and low electrical resistance. Titanium (Ti) and titanium nitride (TiN) are widely used as barriers between aluminum (Al) and silicon (Si) to prevent the destructive intermixing of these two materials. The process of patterning of the interconnect containing Ti and TiN along with Al has been a challenge to the semiconductor process engineers. Therefore, complete characterization of the plasma etch process of Ti and TiN films and development of mathematical models to represent the responses such as the etch rate and uniformity is necessary for a good understanding of the etching process. A robust and well controlled metal etch process usually results in good die yield per wafer and hence can translate into higher profits for the semiconductor manufacturer. The objective of this dissertation is to characterize the plasma etch processes of Ti and TiN films in chlorine containing plasmas such as BCl₃ and Cl₂/N₂ and to develop mathematical models for the etch processes using statistical experimental design and analysis technique known as Response Surface Methodology (RSM). In this work, classical experiments are conducted on the plasma etch process of Ti and TiN films by varying the process parameters, such as gas flow, radio frequency (RF) power, reaction pressure, and temperature, one parameter at a time, while maintaining the other parameters constant. The variation in the etch rate with the change in the process parameter of the film is studied and the results were explained in terms of the concepts of plasma. These experiments, while providing very good understanding of the main effects of the parameters, yield little or no information on the higher order effects or interaction between the process parameters. Therefore, modern experimental design and analysis techniques using computerized statistical methods need to be employed for developing mathematical models for these complex plasma etch processes. The second part of this dissertation concentrates on the Design and Analysis of Experiments using Response Surface Methodology (RSM) and development of models for the etch rate and the etch uniformity of the Ti and TiN films in chlorine-containing plasmas such as Cl₂/N₂ and Cl₂/N₂/BCl₃. A complete characterization of the plasma etch process of Ti and TiN films is achieved with the RSM technique and a well fitting and statistically significant models have been developed for the process responses, such as the etch rate and the etch uniformity. These models also provide a means for quantitative comparison of main effects, which are also known as first order effects, second order effects and two factor interactions. The models, thus developed, can be effectively used for an etch process optimization, prediction of the responses without actually conducting the experiments, and the determination of process window. This dissertation work has achieved a finite study of the plasma etch process of Ti and TiN films. There is tremendous potential and scope for further research in this area, limited only by the available resources for wafer processing. A few of the possibilities for further research is discussed in the next few sentences. The optimized process derived from the RSM technique needs to be implemented in the actual production process of the semiconductor ICs and its effects on the wafer topography, etch residue and the resulting die yield have to be studied. More research studies are needed to examine the effect of process parameters such as temperature, the size and shape of the etch chamber, the quality of the film being etched, among other parameters. It is worth emphasizing in this respect that this dissertation marks beginning of research work into the ever-increasing complexities of gas plasma. / Ph. D.
4

Wetting Optimized Solutions for Plasma Etch Residue Removal for Application in Interconnect Systems of Integrated Circuits / Benetzungsoptimierte Reinigungslösungen für die Entfernung von Plasmaätzresiduen für die Anwendung im Verdrahtungssystem integrierter Schaltungen

Ahner, Nicole 28 March 2013 (has links) (PDF)
In multi-level Co/low-k based interconnect systems of ultralarge-scale integrated electronic devices the removal of plasma etch residues by common plasma cleaning processes has been shown to alter material properties like k-value and leakage current of the low-k dielectric. Besides the development of less damaging plasma processes their substitution by wet cleaning steps is in the focus of research and development. With further decreasing feature dimensions the development of wet cleaning processes has to include wetting issues like the non-wetting of small features due to the surface energy of the liquid or pattern collapse effects of low-k dielectric trenches due to high capillary forces This work at first focuses on the determination of the surface energetic character of common cleaning solutions for PERR and differently etched or ashed low-k dielectric surfaces by contact angle analysis, to outline which combinations of solid and liquid will be critical regarding their wetting behavior. Besides the determination of the wetting behavior the contact angle analysis turned out to be a fast and sensible analytic tool to understand the surface modifications introduced by different plasma processes and can help to understand the mechanisms of plasma damage of low-k dielectric surfaces. The analysis showed that especially polymerizing plasma etch processes produce a low-energetic low-k dielectric surface with a negligible polar energy contributions, which inhibits their wetting by high energetic water based cleaning solutions, which actually are favored by semiconductor manufacturers. The strategy to overcome these wetting issues followed in the present work is the reduction of the surface energy of the cleaning liquids by the application of surfactants. Several types of surfactants have been applied to the cleaning liquids and the compatibility of the surfactant solutions to BEOL materials like low-k dielectrics, copper and diffusion barriers as well as their dynamic behavior has been studied. The analysis showed that choosing the appropriate rinsing solution after the cleaning process is essential to ensure its compatibility to porous low-k dielectrics. Optical, electrical and structural data indicated that DIW rinse in most of the cases was not able to remove residual surfactant species within the material, while for an IPA rinse most of the residual surfactants have been removed. Considering the data received for compatibility to low-k materials, copper and barriers, the dynamic behavior of the surfactant solutions as well as influences of increased bath temperature and long term stability a general advice about surfactant selection and processing of surfactant aided solutions within BEOL is given. / In mehrlagigen Kupfer/low-k basierten Metallisierungssystemen hochintegrierter elektronischer Bauelemente kann die Entfernung von Residuen nach der Plasmastrukturierung des Dielektrikums mittels herkömmlicher Plasmareinigungsprozesse zur Schädigung der Isolatorschicht und damit zum Ansteigen der relativen Dielektrizitätszahl sowie der Leckströme führen. Neben der Entwicklung schädigungsarmer Plasmaprozesse stellt der Ersatz dieser Prozesse durch Nassreinigungsschritte zur Ätzresiduenentfernung eine vielversprechende Alternative dar. Mit stetig abnehmenden Strukturabmaßen ist bei der Entwicklung dieser Nassreinigungsprozesse neben der Materialkompatibilität auch das Benetzungsverhalten der Reinigungsflüssigkeit von entscheidender Bedeutung, da die Oberflächenenergie der Reinigungslösung das Eindringen dieser in kleinste Strukturen verhindern und es durch hohe Kapillarkräfte zum Kollaps von Grabenstrukturen im Dielektrikum kommen kann. In der vorliegenden Arbeit wurde zunächst mittels Kontaktwinkelanalyse die Oberflächenenergie verschieden prozessierter low-k Dielektrikaschichten sowie herkömmlicher Lösungen zur Entfernung von Ätzresiduen untersucht, um hinsichtlich ihres Benetzungsverhaltens besonders kritische Materialkombinationen aufzuzeigen. Neben der Bestimmung des Benetzungsverhaltens hat sich die Kontaktwinkelanalyse zur Oberflächenenergieberechnung als schnelle und empfindliche Methode zur Analyse der Auswirkung von Plasmaprozessen auf die Oberfläche von low-k Dielektrika erwiesen. Die Untersuchungen haben gezeigt, dass besonders polymerisierende Plasmaprozesse eine niederenergetische Oberfläche erzeugen, welche von den derzeit in der Halbleiterfertigung bevorzugten hochenergetischen wasserbasierten Reinigungslösungen nur schlecht benetzt wird. Um diesem Effekt entgegenzuwirken wurde in der vorliegenden Arbeit die Senkung der Oberflächenenergie der Reinigungslösungen durch Zugabe von Tensiden untersucht. Es wurden mehrere Tenside unterschiedlichen Typs den Reinigungsflüssigkeiten zugemischt und die Kompatibilität dieser Lösungen mit low-k Dielektrika, Kupferschichten und Diffusionsbarrieren untersucht sowie ihr dynamisches Verhalten analysiert. Dabei hat sich gezeigt, dass die Auswahl der geeigneten Spüllösung nach dem eigentlichen Reinigungsprozess von entscheidender Bedeutung ist. Optische, elektrische sowie strukturelle Daten deuten darauf hin, dass bei Verwendung einer Spülung mit deionisiertem Wasser in den meisten Fällen Tensidrückstände im porösen Dielektrikum verbleiben. Eine Spülung mit Isopropanol war hingegen in der Lage, einen Großteil dieser Tensidrückstände zu entfernen. Unter Einbeziehung der Daten zur Materialkompatibilität und dem dynamischen Verhalten der Tensidlösungen bei Raumtemperatur und erhöhter Badtemperatur sowie ihrer Langzeitstabilität konnte schließlich eine Prozessempfehlung für die Verwendung der benetzungsoptimierten Reinigungslösungen in der BEOL-Prozessierung gefunden werden.
5

Wetting Optimized Solutions for Plasma Etch Residue Removal for Application in Interconnect Systems of Integrated Circuits: Benetzungsoptimierte Reinigungslösungen für die Entfernung von Plasmaätzresiduen für die Anwendung im Verdrahtungssystem integrierter Schaltungen

Ahner, Nicole 04 April 2012 (has links)
In multi-level Co/low-k based interconnect systems of ultralarge-scale integrated electronic devices the removal of plasma etch residues by common plasma cleaning processes has been shown to alter material properties like k-value and leakage current of the low-k dielectric. Besides the development of less damaging plasma processes their substitution by wet cleaning steps is in the focus of research and development. With further decreasing feature dimensions the development of wet cleaning processes has to include wetting issues like the non-wetting of small features due to the surface energy of the liquid or pattern collapse effects of low-k dielectric trenches due to high capillary forces This work at first focuses on the determination of the surface energetic character of common cleaning solutions for PERR and differently etched or ashed low-k dielectric surfaces by contact angle analysis, to outline which combinations of solid and liquid will be critical regarding their wetting behavior. Besides the determination of the wetting behavior the contact angle analysis turned out to be a fast and sensible analytic tool to understand the surface modifications introduced by different plasma processes and can help to understand the mechanisms of plasma damage of low-k dielectric surfaces. The analysis showed that especially polymerizing plasma etch processes produce a low-energetic low-k dielectric surface with a negligible polar energy contributions, which inhibits their wetting by high energetic water based cleaning solutions, which actually are favored by semiconductor manufacturers. The strategy to overcome these wetting issues followed in the present work is the reduction of the surface energy of the cleaning liquids by the application of surfactants. Several types of surfactants have been applied to the cleaning liquids and the compatibility of the surfactant solutions to BEOL materials like low-k dielectrics, copper and diffusion barriers as well as their dynamic behavior has been studied. The analysis showed that choosing the appropriate rinsing solution after the cleaning process is essential to ensure its compatibility to porous low-k dielectrics. Optical, electrical and structural data indicated that DIW rinse in most of the cases was not able to remove residual surfactant species within the material, while for an IPA rinse most of the residual surfactants have been removed. Considering the data received for compatibility to low-k materials, copper and barriers, the dynamic behavior of the surfactant solutions as well as influences of increased bath temperature and long term stability a general advice about surfactant selection and processing of surfactant aided solutions within BEOL is given. / In mehrlagigen Kupfer/low-k basierten Metallisierungssystemen hochintegrierter elektronischer Bauelemente kann die Entfernung von Residuen nach der Plasmastrukturierung des Dielektrikums mittels herkömmlicher Plasmareinigungsprozesse zur Schädigung der Isolatorschicht und damit zum Ansteigen der relativen Dielektrizitätszahl sowie der Leckströme führen. Neben der Entwicklung schädigungsarmer Plasmaprozesse stellt der Ersatz dieser Prozesse durch Nassreinigungsschritte zur Ätzresiduenentfernung eine vielversprechende Alternative dar. Mit stetig abnehmenden Strukturabmaßen ist bei der Entwicklung dieser Nassreinigungsprozesse neben der Materialkompatibilität auch das Benetzungsverhalten der Reinigungsflüssigkeit von entscheidender Bedeutung, da die Oberflächenenergie der Reinigungslösung das Eindringen dieser in kleinste Strukturen verhindern und es durch hohe Kapillarkräfte zum Kollaps von Grabenstrukturen im Dielektrikum kommen kann. In der vorliegenden Arbeit wurde zunächst mittels Kontaktwinkelanalyse die Oberflächenenergie verschieden prozessierter low-k Dielektrikaschichten sowie herkömmlicher Lösungen zur Entfernung von Ätzresiduen untersucht, um hinsichtlich ihres Benetzungsverhaltens besonders kritische Materialkombinationen aufzuzeigen. Neben der Bestimmung des Benetzungsverhaltens hat sich die Kontaktwinkelanalyse zur Oberflächenenergieberechnung als schnelle und empfindliche Methode zur Analyse der Auswirkung von Plasmaprozessen auf die Oberfläche von low-k Dielektrika erwiesen. Die Untersuchungen haben gezeigt, dass besonders polymerisierende Plasmaprozesse eine niederenergetische Oberfläche erzeugen, welche von den derzeit in der Halbleiterfertigung bevorzugten hochenergetischen wasserbasierten Reinigungslösungen nur schlecht benetzt wird. Um diesem Effekt entgegenzuwirken wurde in der vorliegenden Arbeit die Senkung der Oberflächenenergie der Reinigungslösungen durch Zugabe von Tensiden untersucht. Es wurden mehrere Tenside unterschiedlichen Typs den Reinigungsflüssigkeiten zugemischt und die Kompatibilität dieser Lösungen mit low-k Dielektrika, Kupferschichten und Diffusionsbarrieren untersucht sowie ihr dynamisches Verhalten analysiert. Dabei hat sich gezeigt, dass die Auswahl der geeigneten Spüllösung nach dem eigentlichen Reinigungsprozess von entscheidender Bedeutung ist. Optische, elektrische sowie strukturelle Daten deuten darauf hin, dass bei Verwendung einer Spülung mit deionisiertem Wasser in den meisten Fällen Tensidrückstände im porösen Dielektrikum verbleiben. Eine Spülung mit Isopropanol war hingegen in der Lage, einen Großteil dieser Tensidrückstände zu entfernen. Unter Einbeziehung der Daten zur Materialkompatibilität und dem dynamischen Verhalten der Tensidlösungen bei Raumtemperatur und erhöhter Badtemperatur sowie ihrer Langzeitstabilität konnte schließlich eine Prozessempfehlung für die Verwendung der benetzungsoptimierten Reinigungslösungen in der BEOL-Prozessierung gefunden werden.

Page generated in 0.0447 seconds