• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 6
  • Tagged with
  • 6
  • 6
  • 3
  • 3
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Electronic and Photonic Quantum Devices

Forsberg, Erik January 2003 (has links)
In this thesis various subjects at the crossroads of quantummechanics and device physics are treated, spanning from afundamental study on quantum measurements to fabricationtechniques of controlling gates for nanoelectroniccomponents. Electron waveguide components, i.e. electronic componentswith a size such that the wave nature of the electron dominatesthe device characteristics, are treated both experimentally andtheoretically. On the experimental side, evidence of partialballistic transport at room-temperature has been found anddevices controlled by in-plane Pt/GaAs gates have beenfabricated exhibiting an order of magnitude improvedgate-efficiency as compared to an earlier gate-technology. Onthe theoretical side, a novel numerical method forself-consistent simulations of electron waveguide devices hasbeen developed. The method is unique as it incorporates anenergy resolved charge density calculation allowing for e.g.calculations of electron waveguide devices to which a finitebias is applied. The method has then been used in discussionson the influence of space-charge on gate-control of electronwaveguide Y-branch switches. Electron waveguides were also used in a proposal for a novelscheme of carrierinjection in low-dimensional semiconductorlasers, a scheme which altogether by- passes the problem ofslow carrier relaxation in suchstructures. By studying aquantum mechanical two-level system serving as a model forelectroabsorption modulators, the ultimate limits of possiblemodulation rates of such modulators have been assessed andfound to largely be determined by the adiabatic response of thesystem. The possibility of using a microwave field to controlRabi oscillations in two-level systems such that a large numberof states can be engineered has also been explored. A more fundamental study on quantum mechanical measurementshas been done, in which the transition from a classical to aquantum "interaction free" measurement was studied, making aconnection with quantum non-demolition measurements.
2

Electronic and Photonic Quantum Devices

Forsberg, Erik January 2003 (has links)
<p>In this thesis various subjects at the crossroads of quantummechanics and device physics are treated, spanning from afundamental study on quantum measurements to fabricationtechniques of controlling gates for nanoelectroniccomponents.</p><p>Electron waveguide components, i.e. electronic componentswith a size such that the wave nature of the electron dominatesthe device characteristics, are treated both experimentally andtheoretically. On the experimental side, evidence of partialballistic transport at room-temperature has been found anddevices controlled by in-plane Pt/GaAs gates have beenfabricated exhibiting an order of magnitude improvedgate-efficiency as compared to an earlier gate-technology. Onthe theoretical side, a novel numerical method forself-consistent simulations of electron waveguide devices hasbeen developed. The method is unique as it incorporates anenergy resolved charge density calculation allowing for e.g.calculations of electron waveguide devices to which a finitebias is applied. The method has then been used in discussionson the influence of space-charge on gate-control of electronwaveguide Y-branch switches.</p><p>Electron waveguides were also used in a proposal for a novelscheme of carrierinjection in low-dimensional semiconductorlasers, a scheme which altogether by- passes the problem ofslow carrier relaxation in suchstructures. By studying aquantum mechanical two-level system serving as a model forelectroabsorption modulators, the ultimate limits of possiblemodulation rates of such modulators have been assessed andfound to largely be determined by the adiabatic response of thesystem. The possibility of using a microwave field to controlRabi oscillations in two-level systems such that a large numberof states can be engineered has also been explored.</p><p>A more fundamental study on quantum mechanical measurementshas been done, in which the transition from a classical to aquantum "interaction free" measurement was studied, making aconnection with quantum non-demolition measurements.</p>
3

Electromagnetic simulation and design of etched diffraction grating demultiplexers

Song, Jun January 2008 (has links)
Among various planar lightwave circuits for multiplexing/demultiplexing in an optical communication system, etched diffraction gratings (EDGs) have shown great potential due to their compactness and high spectral finesse. Conventional numerical methods for grating simulation cannot be used to simulate an EDG demultiplexer of large size (in terms of the wavelength). In the present thesis, the polarization-dependent characteristics of an EDG demultiplexer are analyzed with a boundary element method (BEM) for both an echelle grating coated with a metal and a dielectric grating with total internal reflection (TIR) facets. For EDGs with metal-coated facets, we use a more effective method, namely, method of moments (MoM). Futhermore, a fast simulation method for EDGs with TIR facets is presented based on the Kirchhoff–Huygens principle and the Goos-Hänchen shift. This simple method has a good agreement with a BEM over a wide range of practical parameters of the device. Several novel designs are presented in order to improve the performances of EDGs. (1) By making some appropriate roughness on the surface of the shaded facets, the PDL of the demultiplexer can be effectively reduced over a large bandwith. (2) For EDGs based on Si nanowire structures, we compensate the polarization-dependent wavelength dispersion (PDλ) in the whole operational spectrum by introducing a polarization compensation area in its free propagation region. (3) An EDG demultiplexer with suppressed sidelobe is designed. The designed EDG demultiplexer can give a crosstalk as small as 50 dB in theory. (4) By chirping the diffraction order for each facet, we minimize the envelope intensity for the other adjacent diffraction orders to achieve a negligible return loss in a large spectral width. (5) A design for EDG demultiplexers is presented to obtain both large grating facets and a larger free spectral range (FSR) using the optimal chirped diffraction orders for different facets. The influences of the fabrication errors (e.g., rounded effect, surface roughness and point defect in the waveguide) on the performance (such as the insertion loss, the polarization dependent loss and the chromatic dispersion) of an EDG demultiplexer are also analyzed in detail. Silicon nanowire waveguides and related EDGs are studied. An EDG demultiplexer with 10 nm spacing is finally fabricated and characterized. / QC 20100910
4

Technology for photonic components in silica/silicon material structure

Wosinski, Lech January 2003 (has links)
The main objectives of this thesis were to develop a lowtemperature PECVD process suitable for optoelectronicintegration, and to optimize silica glass composition forUV-induced modifications of a refractive index in PECVDfabricated planar devices. The most important achievement isthe successful development of a low temperature silicadeposition, which for the first time makes it is possible tofabricate good quality low loss integrated components whilekeeping the temperature below 250oC during the entirefabrication process. Two strong absorption peaks thatappear at1.5 mm communication window due to N-H and Si-H bonds have beencompletely eliminated by process optimization. This openspossibilities for monolithic integration with other,temperature sensitive devices, such as semiconductor lasers anddetectors, or polymer-based structures on the common siliconplatform. PECVD technology for low loss amorphous silicon inapplication to SiO2/Si based photonic crystal structures hasbeen also optimized to remove hydrogen incorporated during thedeposition process, responsible for the porosity of thedeposited material and creation of similar to silica absorptionbands. Change of the refractive index of germanium doped silicaunder UV irradiation is commonly used for fabrication of UVinduced fiber Bragg gratings. Here we describe our achievementsin fabrication of fiber Bragg gratings and their application todistributed sensor systems. Recently we have built up a laserlab for UV treatment in application to planar technology. Wehave demonstrated the high photosensitivity of PECVD depositedGe-doped glasses (not thermally annealed) even without hydrogenloading, leading to a record transmission suppression of 47dBin a Bragg grating photoinduced in a straight buried channelwaveguide. We have also used a UV induced refractive indexchange to introduce other device modifications or functions,such as phase shift, wavelength trimming and control ofpolarization birefringence.The developed low temperature technology and the UVprocessing form a unique technology platform for development ofnovel integrated functional devices for optical communicationsystems. A substantial part of the thesis has been devoted tostudying different plasma deposition parameters and theirinfluence on the optical characteristics of fabricatedwaveguides to find the processing window giving the besttrade-off between the deposition rate,chamber temperatureduring the process, optical losses and presence of absorptionbands within the interesting wavelength range. The optimalconditions identified in this study are low pressure (300-400mTorr), high dilution of silane in nitrous oxide and high totalflow (2000 sccm), low frequency (380 KHz) RF source and high RFpower levels (800-1000 W). The thesis provides better understanding of the plasmareactions during the deposition process. RF Power is the keyparameter for increasing the rate of surface processes so as toaccommodate each atomic layer in the lowest energy statepossible. All the process conditions which favor a moreenergetic ion bombardment (i.e. low pressure, low frequency andhigh power) improve the quality of the material, making it moredense and similar to thermal oxide, but after a certain pointthe positive trend with increasing power saturates. As theenergy of the incoming ion increases, a competing effect setsin at the surface: ion induced damage and resputtering. Finally, the developed technologies were applied for thefabrication of some test and new concept devices for opticalcommunication systems including multimode interference (MMI)-based couplers/splitters, state-of-the-art arrayed waveguidegrating-based multi/ demultiplexers, the first Bragg gratingassisted MMI-based add-drop multiplexer, as well as moreresearch oriented devices such as a Mach-Zehnder switch basedon silica poling and a Photonic Crystal-based coupler. <b>Keywords:</b>silica-on-silicon technology, PECVD, plasmadeposition, photonic integrated circuits, planar waveguidedevices, UV Bragg gratings, photosensitivity, arrayed waveguidegratings, multimode interference couplers, add-dropmultiplexers.
5

Technology for photonic components in silica/silicon material structure

Wosinski, Lech January 2003 (has links)
<p>The main objectives of this thesis were to develop a lowtemperature PECVD process suitable for optoelectronicintegration, and to optimize silica glass composition forUV-induced modifications of a refractive index in PECVDfabricated planar devices. The most important achievement isthe successful development of a low temperature silicadeposition, which for the first time makes it is possible tofabricate good quality low loss integrated components whilekeeping the temperature below 250oC during the entirefabrication process. Two strong absorption peaks thatappear at1.5 mm communication window due to N-H and Si-H bonds have beencompletely eliminated by process optimization. This openspossibilities for monolithic integration with other,temperature sensitive devices, such as semiconductor lasers anddetectors, or polymer-based structures on the common siliconplatform. PECVD technology for low loss amorphous silicon inapplication to SiO2/Si based photonic crystal structures hasbeen also optimized to remove hydrogen incorporated during thedeposition process, responsible for the porosity of thedeposited material and creation of similar to silica absorptionbands.</p><p>Change of the refractive index of germanium doped silicaunder UV irradiation is commonly used for fabrication of UVinduced fiber Bragg gratings. Here we describe our achievementsin fabrication of fiber Bragg gratings and their application todistributed sensor systems. Recently we have built up a laserlab for UV treatment in application to planar technology. Wehave demonstrated the high photosensitivity of PECVD depositedGe-doped glasses (not thermally annealed) even without hydrogenloading, leading to a record transmission suppression of 47dBin a Bragg grating photoinduced in a straight buried channelwaveguide. We have also used a UV induced refractive indexchange to introduce other device modifications or functions,such as phase shift, wavelength trimming and control ofpolarization birefringence.The developed low temperature technology and the UVprocessing form a unique technology platform for development ofnovel integrated functional devices for optical communicationsystems.</p><p>A substantial part of the thesis has been devoted tostudying different plasma deposition parameters and theirinfluence on the optical characteristics of fabricatedwaveguides to find the processing window giving the besttrade-off between the deposition rate,chamber temperatureduring the process, optical losses and presence of absorptionbands within the interesting wavelength range. The optimalconditions identified in this study are low pressure (300-400mTorr), high dilution of silane in nitrous oxide and high totalflow (2000 sccm), low frequency (380 KHz) RF source and high RFpower levels (800-1000 W).</p><p>The thesis provides better understanding of the plasmareactions during the deposition process. RF Power is the keyparameter for increasing the rate of surface processes so as toaccommodate each atomic layer in the lowest energy statepossible. All the process conditions which favor a moreenergetic ion bombardment (i.e. low pressure, low frequency andhigh power) improve the quality of the material, making it moredense and similar to thermal oxide, but after a certain pointthe positive trend with increasing power saturates. As theenergy of the incoming ion increases, a competing effect setsin at the surface: ion induced damage and resputtering.</p><p>Finally, the developed technologies were applied for thefabrication of some test and new concept devices for opticalcommunication systems including multimode interference (MMI)-based couplers/splitters, state-of-the-art arrayed waveguidegrating-based multi/ demultiplexers, the first Bragg gratingassisted MMI-based add-drop multiplexer, as well as moreresearch oriented devices such as a Mach-Zehnder switch basedon silica poling and a Photonic Crystal-based coupler.</p><p><b>Keywords:</b>silica-on-silicon technology, PECVD, plasmadeposition, photonic integrated circuits, planar waveguidedevices, UV Bragg gratings, photosensitivity, arrayed waveguidegratings, multimode interference couplers, add-dropmultiplexers.</p>
6

Electro-Optic Ring Resonators in Integrated Optics For Miniature Electric Field Sensors

Ruege, Alexander Charles 16 December 2011 (has links)
No description available.

Page generated in 0.0616 seconds