• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 21
  • 17
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 1
  • Tagged with
  • 55
  • 55
  • 55
  • 15
  • 14
  • 13
  • 13
  • 9
  • 9
  • 8
  • 8
  • 7
  • 7
  • 6
  • 5
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
31

Analysis of interconnect yield for a high throughput flip chip assembly process

McGovern, Lawrence P. 12 1900 (has links)
No description available.
32

Functional fault modeling and test vector development for VLSI systems

Gupta, Anil K. January 1985 (has links)
The attempts at classification of functional faults in VLSI chips have not been very successful in the past. The problem is blown out of proportions because methods used for testing have not evolved at the same pace as the technology. The fault-models proposed for LSI systems are no longer capable of testing VLSI devices efficiently. Thus the stuck-at and short/open fault models are outdated. Despite this fact, these old models are used in the industry with some modifications. Also, these gate-level fault models are very time-consuming and costly to run on the mainframe computers. In this thesis, a new method is developed for fault modeling at the functional level. This new method called 'Model Perturbation' is shown to be very simple and viable for automation. Some general sets of rules are established for fault selection and insertion. Based on the functional fault model introduced, a method of test vector development is formulated. Finally, the results obtained from functional fault simulation are related to gate level coverage. The validity and simplicity of using these models for combinational and sequential VLSI circuits is discussed. As an example, the modeling of IBM's AMAC chip, the work on which was done under contract YD 190121, is described. / M.S.
33

Training Set Design for Test Removal Classication in IC Test

Hassan Ranganath, Nagarjun 20 October 2014 (has links)
This thesis reports the performance of a simple classifier as a function of its training data set. The classifier is used to remove analog tests and is named the Test Removal Classifier (TRC). The thesis proposes seven different training data set designs that vary by the number of wafers in the data set, the source of the wafers and the replacement scheme of the wafers. The training data set size ranges from a single wafer to a maximum of five wafers. Three of the training data sets include wafers from the Lot Under Test (LUT). The training wafers in the data set are either fixed across all lots, partially replaced by wafers from the new LUT or fully replaced by wafers from the new LUT. The TRC's training is based on rank correlation and selects a subset of tests that may be bypassed. After training, the TRC identifies the dies that bypass the selected tests. The TRC's performance is measured by the reduction in over-testing and the number of test escapes after testing is completed. The comparison of the different training data sets on the TRC's performance is evaluated using production data for a mixed-signal integrated circuit. The results show that the TRC's performance is controlled by a single parameter- the rank correlation threshold.
34

Automating Variation and Repeater Analysis in Physical Design of Integrated Circuits

Mahalik, Subrat 20 August 2018 (has links)
Rapid advancement and innovation in semiconductor research have continuously helped in designing efficient and complex integrated circuits in miniature size. As the device technology, is aggressively scaling to improve the device performance, the issues related to device interconnects, power, and reliability have become a major concern for the designers. These challenges make the design and validation of ASIC extremely complicated. The primary idea of this work is to develop automation tools, to be used in the physical design flows to improve the efficiency of the design flow. The first tool named as variation analysis tool automates the on-chip variation modeling used in the post-layout timing closure phase in the physical design flows. The proposed variation analysis tool models three types of variations such as on-chip variation (OCV), advanced on-chip variation (AOCV) and parametric on-chip variation (POCV). The results of the proposed tool have compared with the Synopsys PrimeTime™ results, and the results show average around 98% accuracy compared to the PrimeTime™. The second tool is for automating repeater analysis in the physical design flows. The repeater automation tool can be used to automate the repeater or buffer insertion process, while technology process is changed from one to another. The tool can calculate the best possible repeater distance for any given metal layer and also, the number of repeaters, combinational or sequential for the user given distance and frequency. The accuracy of this script is compared with the repeater insertion based on the synthesis tools and also, the SPICE simulation.
35

Efficient finite-difference schemes in thermal analysis and inverse lithography for integrated circuit manufacturing

Shen, Yijiang., 沈逸江. January 2010 (has links)
published_or_final_version / Electrical and Electronic Engineering / Doctoral / Doctor of Philosophy
36

Improving timing verification and delay testing methodologies for IC designs

Zeng, Jing 28 August 2008 (has links)
Not available / text
37

Parametric testing, characterization and reliability of integrated circuits

Datta, Ramyanshu 28 August 2008 (has links)
Not available / text
38

AN HEURISTIC SEARCH APPROACH TO TEST SEQUENCE GENERATION FOR AHPL (A HARDWARE PROGRAMMING LANGUAGE) DESCRIBED SYNCHRONOUS SEQUENTIAL CIRCUITS

Belt, John Edward, 1933- January 1973 (has links)
No description available.
39

Estimation of the impact of patterning error on MOSFET by conformal mapping

Pun, Chiu-ho., 潘昭豪. January 2004 (has links)
published_or_final_version / abstract / toc / Electrical and Electronic Engineering / Master / Master of Philosophy
40

SEARCH DIRECTING HEURISTICS FOR THE SEQUENTIAL CIRCUIT TEST SEARCH SYSTEM (SCIRTSS)

Huey, Ben Milton, 1945- January 1975 (has links)
No description available.

Page generated in 0.1373 seconds