• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 2
  • 1
  • 1
  • Tagged with
  • 4
  • 4
  • 4
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 1
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Fabrication of CuInSe2:Sb thin-film solar cells

Li, Chou-cheng 29 August 2011 (has links)
This research describes an investigation on the fabrication of CuInSe2-based thin-film solar cells with the device structure of Al/ZnO:Al/ZnO/CdS/CIS/Mo/SLG at the substrate temperature of 450oC, which is at least 100oC below the temperature currently used for depositing CIS thin films. A great advantage for the low temperature process is that the polymer material can be used as substrate and it is feasible to make lightweight and flexible thin-film solar cells. In this work, we used a co-evaporation technique with an introduction of Sb during the film deposition process to modify the film growth mechanisms and produce the CIS film with compact grain structure and smooth surface morphology. In most cases, there was only tiny amount of Sb existed in the film as a p-type dopant. In some cases, second phases of Sb compounds could be detected in the film as the Sb flux was kept too high during the film deposition stage. The I-V characteristics measured under the AM1.5 condition for the solar cell using a CIS:Sb film as the absorber showed that the open circuit voltage (Voc) was 0.364 V, short circuit current (Jsc) was 48.16 mA/cm2, fill factor (FF) was 44.5%, and energy conversion efficiency (£b) was 8%. The device with the same layer structure except the use of CIS film prepared without the addition of Sb and at a higher substrate temperature of 550oC had a comparable device performance but a slightly lower efficiency, i.e. Voc=0.325 V, Jsc=48.54 mA/cm2, FF=45.1%, £b=7.4%. It is clear that a lower temperature process using Sb to modify the growth process can be successful to obtain a device quality CIS layer. In addition, a CIGS thin-film solar cell was also fabricated and its device properties were Voc=0.392 V, Jsc=37.28 mA/cm2, FF=46.2%, and £b=7.0%. We see that the addition of Ga to increase the bandgap do increase the Voc and decrease the Jsc. However, a low efficiency of this cell indicates that further improvement in fill factor of the cell is a necessary.
2

Study on co-evaporation process of Cu(In,Ga)Se2 with Sb

Liao, Yung-da 27 August 2012 (has links)
The study focus on low temperature process with doping antimony to refine the quality of the CI(G)S thin film, and doping gallium to increase energy band gap in two-stage co-evaporation process. Furthermore, we discuss about the variety of crystal structure, and recognize the value of energy band gap in transmission spectra. It has been achieved to increase the energy band gap of material with doping gallium. Recognizing the shift of XRD pattern and research result from papers, I estimate the content ratio of gallium in ¢»A atoms is 0.28~0.29, near my establishment ratio 0.3. By tuning the molecular beam flux of antimony effusion cell from 1.1¡Ñ1013 atoms/cm2second to 2.2¡Ñ1014 atoms/cm2second , to find out the property content of antimony involving of co-evaporation to optimize the quality of the CI(G)S polycrystalline thin film. We just observed that the thin film with antimony involving make effect of smoother and denser surface morphology. In our study, we also try discontinue supplying the antimony vapor to reduce the amount of antimony which involves the reaction process, and make low content of antimony leaved in the CI(G)S thin film. Here, We found out a special effect of the grain- growth of the CI(G)S thin film supplying antimony continually or not in the process. It should be strong (112) prefer orientation when we deposit the thin film using SLG substrate. However, we found out that antimony enhance the (220/204) .
3

Optimisation des jonctions de dispositifs (FDSOI, TriGate) fabriqués à faible température pour l’intégration 3D séquentielle / Low temperature devices (FDSOI, TriGate) junction optimization for 3D sequential integration

Pasini, Luca 15 March 2016 (has links)
L’intégration 3D séquentielle représente une alternative potentielle à la réduction des dimensions afin de gagner encore en densité d’une génération à la suivante. Le principal défi concerne la fabrication du transistor de l’étage supérieur avec un faible budget thermique; ceci afin d’éviter la dégradation du niveau inférieur. L’étape de fabrication la plus critique pour la réalisation du niveau supérieur est l’activation des dopants. Celle-ci est généralement effectuée par recuit à une température supérieure à 1000 °C. Dans ce contexte, cette thèse propose des solutions pour activer les dopants à des températures inférieures à 600 °C par la technique dite de recristallisation en phase solide. Les conditions de dopage ont été optimisées pour améliorer le niveau d’activation et le temps de recuit tout en réduisant la température d’activation jusqu’à 450°C. Les avancées obtenues ont été implémentées sur des dispositifs avancés FDSOI et TriGate générant des dispositifs avec des performances inférieures aux références fabriquées à hautes températures (supérieures à 1000 °C). En utilisant des simulations TCAD et en les comparant aux mesures électriques, nous avons montré que la région la plus critique en termes d’activation se trouve sous les espaceurs de la grille. Nous montrons alors qu’une intégration dite « extension first » est le meilleur compromis pour obtenir de bonnes performances sur des dispositifs fabriqués à faible température. En effet, l’implantation des dopants avant l’épitaxie qui vise à surélever les sources et drains compense l’absence de diffusion à basse température. Ces résultats ont par la suite été étendus pour des dispositifs TriGate et FinFETs sur isolants. Pour la première fois, l’intégration « extension first » a été démontrée pour des N et PFETs d’une technologie 14 nm FDSOI avec des résultats prometteurs en termes de performances. Les résultats obtenus montrent notamment qu’il est possible d’amorphiser partiellement un film très mince avant d’effectuer une recroissance épitaxiale sur une couche dopée. Finalement, une implantation ionique à relativement haute température (jusqu’à 500 °C) a été étudiée afin de doper les accès sans amorphiser totalement le film mince, ce qui est critique dans le cas des dispositifs FDSOI et FinFET. Nous montrons que les niveaux d’activation après implantation sont trop faibles pour obtenir des bonnes performances et que l’implantation ionique « chaude » est prometteuse à condition d’être utilisée avec un autre mécanisme d’activation comme le recuit laser. / 3D sequential integration is a promising candidate for the scaling sustainability for technological nodes beyond 14 nm. The main challenge is the development of a low temperature process for the top transistor level that enables to avoid the degradation of the bottom transistor level. The most critical process step for the top transistor level fabrication is the dopant activation that is usually performed at temperature higher than 1000 °C. In the frame of this Ph.D. work, different solutions for the dopant activation optimization at low temperature (below 600 °C) are proposed and integrated in FDSOI and TriGate devices. The technique chosen for the dopant activation at low temperature is the solid phase epitaxial regrowth. First, doping conditions have been optimized in terms of activation level and process time for low temperatures (down to 450 °C) anneals. The obtained conditions have been implemented in FDSOI and TriGate devices leading to degraded electrical results compared to the high temperature process of reference (above 1000 °C). By means of TCAD simulation and electrical measurements comparison, the critical region of the transistor in terms of activation appears to be below the offset spacer. The extension first integration scheme is then shown to be the best candidate to obtain high performance low temperature devices. Indeed, by performing the doping implantation before the raised source and drain epitaxial growth, the absence of diffusion at low temperature can be compensated. This conclusion can be extrapolated for TriGate and FinFET on insulator devices. Extension first integration scheme has been demonstrated for the first time on N and PFETs in 14 nm FDSOI technology showing promising results in terms of performance. This demonstration evidences that the two challenges of this integration i.e. the partial amorphization of very thin films and the epitaxy regrowth on implanted access are feasible. Finally, heated implantation has been investigated as a solution to dope thin access regions without full amorphization, which is particularly critical for FDSOI and FinFET devices. The as-implanted activation levels are shown to be too low to obtain high performance devices and the heated implantation appears a promising candidate for low temperature devices if used in combination with an alternative activation mechanism.
4

Optimisation du procédé de réalisation pour l'intégration séquentielle 3D des transistors CMOS FDSOI / 3D integration of CMOS for advanced circuits

Xu, Cuiqin 09 October 2012 (has links)
L’activation à basse température est prometteuse pour l’intégration 3D séquentielle où lebudget thermique du transistor supérieur est limité (<650 ºC) pour ne pas dégrader letransistor inférieur, mais aussi dans le cas d’une intégration planaire afin d’atteindre des EOTultra fines et de contrôler le travail de sortie de la grille sans recourir à une intégration de type« gate-last ». Dans ce travail, l’activation par recroissance en phase solide (SPER) a étéétudiée afin de réduire le budget thermique de l’activation des dopants.L’activation à basse température présente plusieurs inconvénients. Les travauxprécédents montrent que les fuites de jonctions sont plus importantes dans ces dispositifs.Ensuite, des fortes désactivations de dopants ont été observées. Troisièmement, la faiblediffusion des dopants rend difficile la connexion des jonctions source et drain avec le canal.Dans ce travail, il est montré que dans un transistor FDSOI, l’augmentation des fuites dejonctions et la désactivation du Bore peuvent être évités grâce à la présence de l’oxyde enterré.De plus les conditions d’implantation ont été optimisées et les transistors activés à650 ºC atteignent les performances des transistors de référence. / Low temperature (LT) process is gaining interest in the frame of 3D sequentialintegration where limited thermal budget (<650 ºC) is needed for top FET to preserve bottomFET from any degradation and also in the standard planar integration for achieving ultra-thinEOT and work function control with high-k metal gate without gate-last integration scheme.In this work, LT Solid Phase Epitaxial Regrowth (SPER) has been investigated for reducingthe most critical thermal budget which is dopant activation.From previous works, LT activated devices face several challenges: First, higher junctionleakage limits their application to high performance devices. Secondly, strong deactivation ofthe metastable activated dopants was observed with post anneals. Thirdly, the dopant weakdiffusion makes it difficult to connect the channel with S/D.In this work, it is shown that the use of FDSOI enables to overcome junction leakage andBoron deactivation issues thanks to the defect cutting off and sinking effect of buried oxide.As a consequence, dopant deactivation in FDSOI devices is no longer an issue. Finally,implants conditions of LT transistors have been optimized to reach similar performance thanits standard high temperature counterparts.

Page generated in 0.0973 seconds