• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 29
  • 11
  • 6
  • 5
  • 1
  • Tagged with
  • 60
  • 36
  • 11
  • 10
  • 10
  • 8
  • 8
  • 8
  • 7
  • 7
  • 6
  • 6
  • 6
  • 6
  • 6
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
11

Development of Advanced Nanomanufacturing: 3D Integration and High Speed Directed Self-assembly

Li, Huifeng 2010 August 1900 (has links)
Development of nanoscience and nanotechnology requires rapid and robust nanomanufacturing processes to produce nanoscale materials, structures and devices. The dissertation aims to contribute to two major challenging and attractive topics in nanomanufacturing. Firstly, this research develops fabrication techniques for three dimensional (3D) structures and integrates them into functional devices and systems. Secondly, a novel process is proposed and studied for rapid and efficient manipulation of nanomaterials using a directed self-assembly process. The study begins with the development of nanoimprint lithography for nanopatterning and fabrication of 3D multilayer polymeric structures in the micro- and nano-scale, by optimizing the layer-transfer and transfer-bonding techniques. These techniques allow the integration of microfluidic and photonic systems in a single chip for achieving ultracompact lab-on-a-chip concept. To exemplify the integration capability, a monolithic fluorescence detection system is proposed and the approaches to design and fabricate the components, such as a tunable optical filter and optical antennas are addressed. The nanoimprint lithography can also be employed to prepare nanopatterned polymer structures as a template to guide the self-assembly process of nanomaterials, such as single-walled carbon nanotubes (SWNTs). By introducing the surface functionalization, electric field and ultrasonic agitation into the process, we develop a rapid and robust approach for effective placement and alignment of SWNTs. These nanomanufacturing processes are successfully developed and will provide a pathway to the full realization of the lab-on-a-chip concept and significantly contribute to the applications of nanomaterials.
12

Stability of Self-Assembled Monolayer Surfactant Coating in Thermal Nanoimprint

Lunsford, Patrick 2010 December 1900 (has links)
High-resolution and low-cost fabrication techniques are essential for nanotechnology to overcome the commercialization barrier to benefit our society. Since its inception nanoimprint has become the ideal technology to fabricate dense sub-micron structures over large areas with low cost, which are important to many applications such as high-density storage disks and diffractive optical devices. The decade-long development in nanoimprint equipment has reached a point where large-scale manufacturing of high-density nanostructures are possible. However, there are a few remaining issues that need to be studied before the advent of commercial application of nanoimprint. In this work we look at a pressing issue, long-term stability of the mold surfactant coating. It is important to understand the details of the surfactant wear during nanoimprint in order to limit defect density to a tolerable threshold in a high-volume manufacturing process. To study this we went through a nanoimprinting procedure and measured chemical and physical alterations in the coating. The surfactant wear information also helps to optimize the time interval for surfactant recoating to keep the fabrication throughput as high as possible. In this paper we characterize the stability of two commonly used surfactants as well as prescribe a new technique for mold anti-adhesion. Through this work we see that FDTS and OTS undergo significant degradation in air and gradual degradation by chain scission is observed during the nanoimprint procedure. It is also noted that an embedded anti-adhesion layer is effective for mold releasing.
13

Study of nanoimprint process by quartz glass mold

Fan, Chen-Yi 15 August 2008 (has links)
This study investigates sub 200nm half-pitch polymer structures by nanoimprint process. The trench structures were fabricated on quartz glass with various depths and widths by FIB. To investigate the best nanoimprint process on SU-8, we studied various parameters such as: imprinting temperature, imprinting pressure, and temperature for de-molding, etc. ¡@This study had successfully defined 50nm width with different depths on to SU-8 by imprint. ¡@Imprint temperature above Tg 30¢J with constant pressure on continuous impressing and de-mold in room temperature would result in better imprinting results. The filling rate of this nanoimprint technology was measured by atomic force microscopy. ¡@For structures above/near 100nm half-pitch, the filling rate is nearly 100%.
14

ADVANCED NANOIMPRINT TECHNIQUE FOR MULTILAYER STRUCTURES AND FUNCTIONAL POLYMER APPLICATIONS

Park, Hyunsoo 2009 May 1900 (has links)
Three-dimensional (3D) polymer structures are very attractive because the extra structural dimension can provide denser integration and superior performance to accomplish complex tasks. Successful fabrication of 3D multilayer microstructures in thermoplastic polymers using optimized nanoimprint lithography techniques such as layer-transfer and transfer-bonding methods are developed in this dissertation work. The capability and flexibility of the techniques developed here are expected to have deep impact on the applications of soft materials such as polymers including functional polymers in micro- and nanofabricated devices and systems. Although NIL technique is developing rapidly in recent years, there are still issues that need to be addressed for broader adoption of the nanoimprint technique. One of the problems is the residual layer that remains in the polymer pattern after nanoimprint. The conventional approach, oxygen reactive-ion-etching (RIE) process, to remove the residual layers, increases the cost and lowers the overall throughput of the nanoimprint process. More severely, it can degrade or even damage the functional polymers. In order to overcome these problems, new residual layer removal techniques need to be developed. In this dissertation, two methods are newly developed, which do not negatively affect the chemistry of the polymer materials. The techniques are suitable for all thermoplastic polymers, particularly functional polymers. Another advantage of nanoimprint is its ability to directly create functional polymers structures. This is because thermal nanoimprint only needs temperature and pressure for pattern replication, which both are benign to functional polymers. This feature combined with newly developed techniques such as transfer-bonding and residue removal techniques opens up the possibilities in nondestructive functional polymers patterning at the micro- and nanoscale for novel applications in electronics, optoelectronics, photonics and bioengineering. Finally, several applications of 3D multilayer structures fabricated by the techniques developed in this dissertation are demonstrated. The first application is a multilayer metal-dielectric-metal structure with embedded microfluidic channels. This structure can be used as an on-chip tunable filter for integrated microfluidic applications. The second application is a multilayer microfluidic channels in which each layer has a different channel size. This device can be used for particle separation and filtration based on lateral fluid flow.
15

Development of photonic crystal display devices

Krabbe, Joshua Dirk 06 1900 (has links)
This thesis investigates technologies directed towards developing photonic crystal display devices. A switching technology based on dye electrophoretic motion within a 1D porous photonic crystal was developed. Dissociated absorbing dye species were moved through the assembled device and reflectance was controllably altered by up to 0.4. Refinement of fabrication techniques yielded a slow switching device, whose time-resolved reflectance data was analyzed. A wavelength dependence of the device switching speed was observed. This phenomenon was described by modelling where bandgap effects match observation. These devices may be improved by employing a 3D photonic crystal. We developed a nanoimprint lithography technique for seeding films deposited by GLAD for the fabrication of 3D square spiral photonic crystals. Parameters for patterning a precisely defined mould pattern using electron beam lithography were established. A large area diamond:1 square spiral photonic crystal was fabricated on the nanoimprinted seeds, and it exhibited a visible wavelength bandgap. / Micro-Electro-Mechanical Systems (MEMS) and Nanosystems
16

Design and Characterization of Resist and Mold Materials for Electron-Beam and Nanoimprint Lithography

Con, Celal 29 August 2011 (has links)
Electron beam lithography (EBL) and Nanoimprint Lithography (NIL) are the promising tools for today’s technology in terms of resolution capability, fidelity and cost of operation. Achieving highest possible resolution is a key concept for EBL where there is a huge request in applications of nanotechnology for sub-20 nm feature sizes. Defining features at these length scales is a challenge, and there is a large demand for resist that is not only capable of giving high resolution but also having low cost and ease of process. In this work I studied Polystyrene (PS) which is an alternative organic e-beam resist in terms of ease of process and resolution capability. I examined the process of electron-beam exposure and attempted to characterize the factors that affect the achieved resolution and sensitivity. Besides this work, I designed and fabricated a new type of mold for NIL since mold fabrication is a key factor for NIL technology. The resolution of NIL process depends on the mold features and polymer mold technology received great attention in terms of cost of fabrication and process, fidelity, and reliability. I used MD 700 Fluoropolymer as a new type of polymer mold which was believed to be a good candidate for the polymer mold of high throughput NIL.
17

Soft UV nanoimprint lithography : a versatile technique for the fabrication of plasmonic biosensors

Chen, Jing 21 April 2011 (has links) (PDF)
During the last decade, surface plasmon resonance (SPR) has become widely used to characterize a biological surface and to characterize binding events in the fields of chemistry and biochemistry. Research in this field has been favoured by the tremendous growth in nanofabrication methods among which soft lithographies are alternatively emerging. The purpose of this thesis work was to develop soft UV nanoimprint lithography, an emerging flexible technology allowing patterning on large area of subwavelength photonic nanostructures. The main advantages offered by soft UV nanoimprint lithography concern the simple patterning procedure and the low cost of the experimental setup (see state-of-art presented in chapter 1). Chapters 2 and 3 present the fabrication of master stamps, the study of nanoimprinting parameters coupled with the optimization of the etching process in order to get metallic nanostructures with limited pattern defects. The physical mechanisms of the transmission phenomenon exalted by surface plasmons were studied based on arrays of imprinted gold nanoholes (chapter 4). Extraordinary light transmission has been experimentally demonstrated. The geometrical effects on the position transmission peak were systematically analyzed. Proof-of-concept measurements performed in simple fluidic device indicate a response to small changes in refractive index in the surface vicinity. Finally, chapter 5 proposes a novel design for the optical sensor which is based on "nanocavities" exhibiting coupled localized plasmons. This LSPR sensor offers an improvement of one order of magnitude of the Figure of Merit compared to classical LSPR sensors. The resonance properties of these innovative nanocavities have been studied from numerical simulations and discussed based on their geometrical dependence. Since this system has demonstrated higher sensitivity for detection of biomolecules, it is thus fully adapted to study immunochemical binding interactions.
18

Development of photonic crystal display devices

Krabbe, Joshua Dirk Unknown Date
No description available.
19

Three-Dimensional Patterning Using Ultraviolet Curable Nanoimprint Lithography.

Mohamed, Khairudin January 2009 (has links)
Although a large number of works on nanoimprint lithography (NIL) techniques have been reported, the the ability for three-dimensional (3-D) patterning using NIL has not been fully addressed in terms of the mold fabrication and imprint processes. Patterning 3-D and multilevel features are important because they eliminate multiple steps and complex interlevel alignments in the nanofabrication process. The 3-D and multilevel mold design and fabrication, and imprint processes have been studied and investigated in this research work. In the UV-NIL technique, a transparent mold with micro/nanostructure patterns on its surface is allowed to be replicated on UV curable polymer without the need of high applied pressure or temperature. UV-NIL has the potential to fabricate micro/nanostructures with high resolution, high reproducibility, low cost, high throughput and is capable of 3-D patterning. This research focuses on two aspects; the development of mold making and imprint processes. In the process of making a master mold, an EBL technique was employed for writing patterns on e-beam resists. PMMA positive resist was used for 2-D patterning and ma-N2403 negative resist from Microresist Technology was used for 3-D patterning. After being developed, the 3-D mold pattern was transferred onto quartz substrate using a single-step reactive ion etching (RIE) technique. A number of challenging issues such as surface charging, electron scattering and proximity effects surfaced during the EBL pattern writing on insulating and transparent molds. A number of new approaches have been developed for suppressing the charging effects in the 2-D and 3-D patterning. Using thin metallic coating on the quartz substrates or on top of the resist, or conductive polymer coating using PEDOT/PSS on top of the resist has demonstrated excellent results in a 2-D structure with a high aspect-ratio of 1:10 and feature sizes down to 60 nm. In 3-D patterning, two approaches have been followed; the critical energy method and/or a top coating of conductive polymer (PEDOT/PSS) layer. Isolated 3-D structures with feature sizes down to 500 nm were successfully fabricated using the first method while by using the second method, dense 3-D structures patterns with feature sizes down to 300 nm, on 400 nm pitch have been demonstrated. In UV-NIL, the surface roughness Rq(rms) should be less than 5 nm, which is important for replicating optical structures and devices. In this work, the RIE process been optimized to yield 2 nm roughness on a patterned quartz surface. This was achieved by optimizing the RIE process pressure of below 6 mTorr. The other part of this thesis is on replication or imprinting of 2-D and 3-D structures. In the process of replicating the master mold profiles, the imprint processes were carried out using a vacuum operated manual imprint tool which was attached to a Mask Aligner UV illumination system. In 2-D imprinting, resist sticking on the vertical side wall was the main issue, especially on high aspect ratio structures. Meanwhile in 3-D imprinting, the imprint results have shown good reproducibility in up to 15 imprint cycles, where the issue of Ormocomp soft/daughter mold cracking after long UV exposure had limited the repetition of the imprint cycles. In this thesis, the 2-D and 3-D resist patterning on insulating substrates using the EBL technique have been demonstrated with the assistance of a number of developed charge suppression methods. Single-step RIE pattern transfer onto quartz substrates with surface roughness below 5nm has been achieved. Replication of 3-D and multilevel structures reliably make the UV-NIL technique suitable for future applications such as surface texturing, optical devices and many other complex structures including MEMS.
20

Design and Characterization of Resist and Mold Materials for Electron-Beam and Nanoimprint Lithography

Con, Celal 29 August 2011 (has links)
Electron beam lithography (EBL) and Nanoimprint Lithography (NIL) are the promising tools for today’s technology in terms of resolution capability, fidelity and cost of operation. Achieving highest possible resolution is a key concept for EBL where there is a huge request in applications of nanotechnology for sub-20 nm feature sizes. Defining features at these length scales is a challenge, and there is a large demand for resist that is not only capable of giving high resolution but also having low cost and ease of process. In this work I studied Polystyrene (PS) which is an alternative organic e-beam resist in terms of ease of process and resolution capability. I examined the process of electron-beam exposure and attempted to characterize the factors that affect the achieved resolution and sensitivity. Besides this work, I designed and fabricated a new type of mold for NIL since mold fabrication is a key factor for NIL technology. The resolution of NIL process depends on the mold features and polymer mold technology received great attention in terms of cost of fabrication and process, fidelity, and reliability. I used MD 700 Fluoropolymer as a new type of polymer mold which was believed to be a good candidate for the polymer mold of high throughput NIL.

Page generated in 0.0707 seconds