• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 4
  • 1
  • Tagged with
  • 5
  • 5
  • 5
  • 5
  • 4
  • 4
  • 4
  • 3
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Développement de procédés de gravure à base de plasmas réactifs pulsés Pulsed plasmas for etch applications

Haass, Moritz 06 November 2012 (has links) (PDF)
Du fait de la réduction des dimensions en microélectronique, les procédés de gravure par plasmas ne peuvent plus satisfaire aux exigences de l'industrie. De nouvelles stratégies sont en cours de développement. Ce travail consiste en l'étude de plasmas pulsés de HBr/O2 comme une alternative pour la gravure du silicium. Divers diagnostics dans un réacteur industriel 300 mm sont utilisés pour caractériser le plasma tandis que la gravure du silicium est étudiée par XPS et par microscopie électronique. Lorsque le plasma est pulsé à faible rapport cyclique, sa température et sa dissociation sont fortement réduits. Le flux de Br radicalaire par rapport à la période ON du plasma augmente tandis que l'influence du radical O diminue, ce qui conduit à une amélioration de la sélectivité par rapport au SiO2 et à une gravure plus homogène. Les profils des structures gravées peuvent être contrôlés par la formation de la couche de passivation sur les flancs dépendant également du rapport cyclique.
2

Développement de procédés de gravure à base de plasmas réactifs pulsés Pulsed plasmas for etch applications / Pulsed Plasmas for Etch Applications

Haass, Moritz 06 November 2012 (has links)
Du fait de la réduction des dimensions en microélectronique, les procédés de gravure par plasmas ne peuvent plus satisfaire aux exigences de l'industrie. De nouvelles stratégies sont en cours de développement. Ce travail consiste en l'étude de plasmas pulsés de HBr/O2 comme une alternative pour la gravure du silicium. Divers diagnostics dans un réacteur industriel 300 mm sont utilisés pour caractériser le plasma tandis que la gravure du silicium est étudiée par XPS et par microscopie électronique. Lorsque le plasma est pulsé à faible rapport cyclique, sa température et sa dissociation sont fortement réduits. Le flux de Br radicalaire par rapport à la période ON du plasma augmente tandis que l'influence du radical O diminue, ce qui conduit à une amélioration de la sélectivité par rapport au SiO2 et à une gravure plus homogène. Les profils des structures gravées peuvent être contrôlés par la formation de la couche de passivation sur les flancs dépendant également du rapport cyclique. / The continuous downscaling in microelectronics imposes increasing demands on the plasma processes and traditional ways for process optimization reach their limits. New strategies are needed and innovations in the field of plasma processes are being developed: e.g. the use of pulsed plasmas. In this thesis, a pulsed HBr/O2 etch plasma is studied. Various in-situ diagnostics are used to characterize pulsed plasmas in an industrial 12” etch reactor. The silicon etching is investigated by XPS and electron microscopy. We show that the plasma dissociation and temperature are reduced if the plasma is pulsed at low duty cycles. The Br radical flux with respect to the on-time of the plasma is increased and the influence of the O radical is decreased, leading to enhanced time compensated silicon etch rates, a higher selectivity towards SiO2 and a more homogeneous etching. The pattern profiles can be controlled via the sidewall passivation layer formation that is closely linked to the duty cycle.
3

Vers une gravure plasma de précision nanométrique : simulations de dynamique moléculaire en chimie Si-Cl / Towards a nanometric precision etching in reactive plasmas : molecular dynamics simulations of Si-Cl interactions

Brichon, Paulin 10 March 2015 (has links)
Ce travail de thèse aborde le problème de la gravure de matériaux ultraminces pour la réalisation de nouvelles générations de transistors (FDSOI, FinFET) dans les dispositifs nanoélectroniques avancés. Ces transistors doivent être gravés avec une précision nanométrique pour ne pas endommager les propriétés électroniques des couches actives. Afin d'atteindre une telle précision, les dommages surfaciques et l'épaisseur des couches réactives formées lors de l'exposition plasma doivent être maintenus en-deçà du nanomètre, véritable défi auquel les plasmas ICP continus ne sont plus à même de répondre. Pour assister le développement de nouveaux procédés de gravure, des simulations de dynamique moléculaire ont été développées afin étudier l'influence de nouvelles technologies plasma (plasmas pulsés, plasma basse Te, gaz pulsés) sur les interactions entre silicium et plasmas chlorés. Les simulations montrent que l'énergie ionique (Eion) est le paramètre numéro un pour contrôler la gravure de couches de Si ultraminces, une diminution de l'énergie réduisant à la fois l'épaisseur de couche endommagée SiClx et le taux de gravure. Le rapport du flux de neutres sur flux d'ions (Γ) est le 2nd paramètre clé : son augmentation entraîne une diminution sensible l'épaisseur de couche perturbée tout en augmentant le taux de gravure. Quantitativement, cette étude montre que des plasmas caractérisés par de faibles énergies ioniques (< 15 eV) ou des rapports Γ importants (⩾ 1000) permettre d'obtenir des couches réactives d'épaisseur sub-nanométrique (cf. plasmas basse Te ou synchronisés pulsés). En mode "bias pulsé", les simulations montrent que pour une valeur Vbias donnée, pulser le bias permet de diminuer à la fois l'épaisseur de couche réactive et le taux de gravure. Cet effet est d'autant plus marqué que le rapport de cycle DC est faible, ce qui élargit la fenêtre des paramètres opératoires. Pour contrôler la gravure, une autre solution pourrait consister à contrôler l'épaisseur des couches réactives de manière dynamique. Inspiré de l'ALE (Atomic Layer Etching), ce nouveau concept consiste à pulser rapidement et alternativement différents gaz pour décomposer le procédé de gravure en cycles répétitifs de deux étapes plasma distinctes. La 1ère étape vise à limiter la formation de la couche mixte à 1nm d'épaisseur dans un plasma réactif (Cl2) en optimisant le temps d'injection du gaz; la 2nde étape vise à graver la couche ainsi formée dans un plasma de gaz rare (Ar, Xe) sans endommager le matériau sous-jacent. Nos simulations confirment la faisabilité et la répétabilité d'un tel concept. / This thesis focuses on technological challenges associated with the etching of ultrathin materials used for new generations of transistors (FDSOI, FinFET) in advanced nanoelectronics devices. These transistors must be etched with a nanometric precision in order to preserve the electronic properties of active layers. To reach such a precision, plasma-induced damage and reactive layers thicknesses formed during the etch must remain below 1nm, a challenge which cannot be addressed by continuous-waves ICP plasmas. To assist the development of new etching processes, molecular dynamics simulations have been developed to study the influence of new plasma technologies (pulsed plasmas, low-Te plasmas, gaz pulsing) on interactions between silicon and chlorine plasmas. Simulations show that the key parameter to control the etching of ultrathin Si layers is the ion energy (Eion), which lowers both the SiClx damaged layer thickness and the etch rate when it is decreased. The neutral-to-ion flux ratio (Γ) is the second key parameter: its increase strongly reduces the reactive layer thickness while the etch rate grows. Quantitatively, this study shows that plasmas with low ion energies (< 15 eV) and high Γ ratios (⩾ 1000) allow to obtain sub-nanometer thick reactive layers (cf. low-Te or synchronized pulsed plasmas). In « pulsed bias » mode, simulations show that for a given Vbias value, pulsing the bias decreases both the reactive layer thickness and the etch rate. This effect is stronger at low duty cycle DC, which can improve the control of the etching process. To control the etching of ultrathin films, another solution may be to control dynamically the reactive layers formation. Inspired from ALE (Atomic Layer Etching) principle, this new concept consists in pulsing quickly and alternatively several gases to divide the etching process into repetitive cycles of two distinct plasma steps. The first step aims to limit the mixed layer formation at 1nm in a reactive (Cl2) plasma by optimizing the gas injection time; the 2nd step aims to remove the so-formed layer in a noble gas plasma (Ar, Xe) without damaging the material below. Our simulations confirm the feasibility and the repeatability of such a concept.
4

Vers une gravure plasma de précision nanométrique : simulations de dynamique moléculaire en chimie Si-Cl / Towards a nanometric precision etching in reactive plasmas : molecular dynamics simulations of Si-Cl interactions

Brichon, Paulin 10 March 2015 (has links)
Ce travail de thèse aborde le problème de la gravure de matériaux ultraminces pour la réalisation de nouvelles générations de transistors (FDSOI, FinFET) dans les dispositifs nanoélectroniques avancés. Ces transistors doivent être gravés avec une précision nanométrique pour ne pas endommager les propriétés électroniques des couches actives. Afin d'atteindre une telle précision, les dommages surfaciques et l'épaisseur des couches réactives formées lors de l'exposition plasma doivent être maintenus en-deçà du nanomètre, véritable défi auquel les plasmas ICP continus ne sont plus à même de répondre. Pour assister le développement de nouveaux procédés de gravure, des simulations de dynamique moléculaire ont été développées afin étudier l'influence de nouvelles technologies plasma (plasmas pulsés, plasma basse Te, gaz pulsés) sur les interactions entre silicium et plasmas chlorés. Les simulations montrent que l'énergie ionique (Eion) est le paramètre numéro un pour contrôler la gravure de couches de Si ultraminces, une diminution de l'énergie réduisant à la fois l'épaisseur de couche endommagée SiClx et le taux de gravure. Le rapport du flux de neutres sur flux d'ions (Γ) est le 2nd paramètre clé : son augmentation entraîne une diminution sensible l'épaisseur de couche perturbée tout en augmentant le taux de gravure. Quantitativement, cette étude montre que des plasmas caractérisés par de faibles énergies ioniques (< 15 eV) ou des rapports Γ importants (⩾ 1000) permettre d'obtenir des couches réactives d'épaisseur sub-nanométrique (cf. plasmas basse Te ou synchronisés pulsés). En mode "bias pulsé", les simulations montrent que pour une valeur Vbias donnée, pulser le bias permet de diminuer à la fois l'épaisseur de couche réactive et le taux de gravure. Cet effet est d'autant plus marqué que le rapport de cycle DC est faible, ce qui élargit la fenêtre des paramètres opératoires. Pour contrôler la gravure, une autre solution pourrait consister à contrôler l'épaisseur des couches réactives de manière dynamique. Inspiré de l'ALE (Atomic Layer Etching), ce nouveau concept consiste à pulser rapidement et alternativement différents gaz pour décomposer le procédé de gravure en cycles répétitifs de deux étapes plasma distinctes. La 1ère étape vise à limiter la formation de la couche mixte à 1nm d'épaisseur dans un plasma réactif (Cl2) en optimisant le temps d'injection du gaz; la 2nde étape vise à graver la couche ainsi formée dans un plasma de gaz rare (Ar, Xe) sans endommager le matériau sous-jacent. Nos simulations confirment la faisabilité et la répétabilité d'un tel concept. / This thesis focuses on technological challenges associated with the etching of ultrathin materials used for new generations of transistors (FDSOI, FinFET) in advanced nanoelectronics devices. These transistors must be etched with a nanometric precision in order to preserve the electronic properties of active layers. To reach such a precision, plasma-induced damage and reactive layers thicknesses formed during the etch must remain below 1nm, a challenge which cannot be addressed by continuous-waves ICP plasmas. To assist the development of new etching processes, molecular dynamics simulations have been developed to study the influence of new plasma technologies (pulsed plasmas, low-Te plasmas, gaz pulsing) on interactions between silicon and chlorine plasmas. Simulations show that the key parameter to control the etching of ultrathin Si layers is the ion energy (Eion), which lowers both the SiClx damaged layer thickness and the etch rate when it is decreased. The neutral-to-ion flux ratio (Γ) is the second key parameter: its increase strongly reduces the reactive layer thickness while the etch rate grows. Quantitatively, this study shows that plasmas with low ion energies (< 15 eV) and high Γ ratios (⩾ 1000) allow to obtain sub-nanometer thick reactive layers (cf. low-Te or synchronized pulsed plasmas). In « pulsed bias » mode, simulations show that for a given Vbias value, pulsing the bias decreases both the reactive layer thickness and the etch rate. This effect is stronger at low duty cycle DC, which can improve the control of the etching process. To control the etching of ultrathin films, another solution may be to control dynamically the reactive layers formation. Inspired from ALE (Atomic Layer Etching) principle, this new concept consists in pulsing quickly and alternatively several gases to divide the etching process into repetitive cycles of two distinct plasma steps. The first step aims to limit the mixed layer formation at 1nm in a reactive (Cl2) plasma by optimizing the gas injection time; the 2nd step aims to remove the so-formed layer in a noble gas plasma (Ar, Xe) without damaging the material below. Our simulations confirm the feasibility and the repeatability of such a concept.
5

Miniaturisation des grilles de transistors : Etude de l'intérêt des plasmas pulsés / Analysis of synchronized pulsed plasma for the manufacture of nanostructures

Brihoum, Mélissa 24 October 2013 (has links)
L'industrie de la microélectronique s'appuie sur l'évolution constante de la miniaturisation des transistors. D'ici 2016, cette industrie atteindra le nœud technologique 16 nm dans lequel il faudra être capable de graver des structures de dimensions nanométrique ayant de très forts facteurs d'aspect. Cependant, les procédés de gravure actuels montrent de sérieuses limitations en termes de contrôle des profils et des dimensions critiques lorsqu'il faut graver de telles structures. Les problèmes rencontrés sont liés d'une part à des limitations intrinsèques des procédés plasmas et d'autre part à l'apparition de nouveaux phénomènes lorsque la dimension des structures à graver devient nanométrique. Dans le cadre de cette thèse, un nouveau mode de fonctionnement des sources à plasma est étudié pour développer des procédés de gravure adaptés aux prochaines générations de circuits intégrés : les plasmas modulés en impulsions courtes. Les premiers travaux réalisés s'appuient sur de puissantes techniques d'analyses du plasma (spectroscopie d'absorption VUV, sonde de flux ionique, analyseur électrostatique) dans le but de mettre en évidence l'impact des paramètres de la modulation en impulsion du plasma sur ses caractéristiques physicochimiques (flux et énergie des radicaux et des ions). Ces diagnostics ont tout d'abord permis de définir très clairement les conséquences de la modulation en impulsion du plasma sur les flux de radicaux réactifs qui bombardent le substrat : le rapport de cycle est LE paramètre clé pour contrôler la chimie du plasma car il permet de contrôler le taux de fragmentation du gaz par impact électronique. Dans un second temps, nous avons également démontré que dans les plasmas électronégatifs et pour une puissance RF de polarisation donnée, l'énergie des ions augmente lorsque le rapport de cycle diminue. Fort de ces connaissances fondamentales sur les plasmas, des analyses des surfaces (XPS, MEB, Raman…) ont permis de comprendre les mécanismes mis en jeux lors de l'interaction plasma- surface. Ainsi, il a été possible de développer des procédés de gravure pulsés pour plusieurs étapes de la grille de transistor (prétraitement HBr, gravure du Si-ARC, gravure du pSi). Les prétraitements HBr sont incontournables pour réduire la rugosité de bord de ligne de transistor. Lors de cette étape, une couche riche en carbone limite l'effet bénéfique des UV du plasma sur la diminution de la rugosité. Grâce à l'utilisation des plasmas pulsés, l'origine de cette couche a été mise en évidence : elle résulte du dépôt sur les motifs d'espèces carbonées non volatiles issues de la photolyse de la résine qui sont relâchées dans le plasma. Dans ce système bicouche, les contraintes de la couche carbonée dure vont se relaxer dans le volume mou de la résine par phénomène de « buckling » qui se traduit par une hausse de la rugosité de bord de ligne. Nous avons montré que cela peut être évité en minimisant l'épaisseur de cette couche, ce qui peut être obtenu notamment en pulsant le plasma. La gravure de la couche anti-réflective Si-ARC qui sert de masque dur et celle de la grille en poly Silicium reposent sur l'utilisation de plasmas fluorocarbonés. Mais dans ce type de plasma, la production de précurseurs pour la polymérisation est diminuée quand le plasma est pulsé, conduisant à une perte de sélectivité et d'anisotropie. Les plasmas synchronisés pulsés ne sont donc pas de bons candidats pour les étapes de gravure considérées. Pour pallier à ce problème, un autre mode de polarisation a été étudié : les plasmas pour lesquels seule la puissance de polarisation est pulsée. Dans le cas de la gravure du Si-ARC, il est possible d'obtenir des profils très anisotropes avec une sélectivité vis-à-vis de la résine nettement améliorée. Pour la gravure du Silicium, les effets d'ARDE ont pu être diminués tout en améliorant la sélectivité. Ces résultats sont très encourageants. / Microelectronics industry is based on the continuous transistor downscaling. By the year 2016, the 16nm technological node would be achieved, so that structures with nanometric dimensions and high aspect ratio would have to be etch. However, traditional etching processes shows major limitations in terms of pattern profiles control and critical dimensions when such structures have to be etch. The encountered problems are related directly to intrinsic limitations of plasmas processes but also to the emergence of new phenomena’s when the dimensions of structures to etch become nanometric. In the framework of this thesis, a new strategy to produce plasma has been evaluated to develop etching plasmas processes adapted to next integration circuit generations: the pulsed plasmas. Over a first phase, the impact of plasma pulsing parameters (frequency and duty cycle) on the plasma physico-chemical characteristics has been highlight. This has been achievable thanks to advanced plasma analyse techniques (VUV broad band absorption spectroscopy, ion flux probe, retarding electrical field analyser…) developed to allow time resolved measurements. For the neutral flux, diagnostics have revealed that duty cycle is THE key control knob to tune the plasma. Indeed, a low duty cycle leads to reduced parent gas fragmentation and thus a reduced chemical reactivity. On the other hand, in electronegative plasmas and for constant RF power, we have demonstrated that ion energy is considerably increased when the ions flux is decreased (i.e. when the duty cycle is decreased). Then, surface analyses (XPS, SEM, Raman spectroscopy…) brought out the mechanisms involved during the plasma-surface interaction. Deeper comprehension of impact of pulsing parameters enables to develop pulsed plasmas processes more easily. These works are focused on the top of the transistor gate and deal with the following steps: HBr cure, Si-ARC etching, poly-silicon etching. HBr cure is an essential pre-treatment of the 193 nm photoresist to decrease the Line Width Roughness (LWR) of transistor gate. During this step, a carbon rich layer is formed on the surface of the resist pattern and degrades the beneficial action of UV plasma light on LWR reduction. Thanks to use of pulsed plasmas, the origin of this carbon rich layer has been highlight: UV induced modifications in polymer bulk lead to outgassing of volatiles carbon-based products in the plasma. These carbon containing moieties are fragmented by electron impact dissociation reaction in the plasma, which create sticking carbon based precursors available for re-deposition on the resist patterns. The impact of this layer on the LWR and resist pattern reflow is studied, and a possible mechanical origin (i.e. buckling instabilities) is highlighted. Finally, we showed that the use of pulsed HBr curing plasma allows to reduce and control the thickness of the graphite-like layer and to obtain LWR reduction that are comparable to VUV treatment only. The Si-ARC layer, used as hard mask, and the poly-silicon gate etching are based on the use of fluorocarbon plasmas. However, in these plasmas, the production of radicals enable for the polymerisation is decreased when the duty cycle is reduced. It leads to loss of both anisotropy and selectivity. Synchronised pulsed plasmas are then not adapted to such etching processes. To overcome this problem, a new way to produce plasma has been studied: the ICP source power is maintained constant and only the bias power is pulsed. Regarding Si-ARC etching, very anisotropic profiles are obtained and the Si-ARC to resist selectivity is enhanced while pulsing the rf bias to the wafer. In the case of poly-silicon etching, the ARDE effects are significantly reduced while the selectivity regarding the oxide is improved. These results are very promising for the development of polymerising plasmas processes.

Page generated in 0.0666 seconds