• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 12
  • 2
  • 1
  • Tagged with
  • 15
  • 15
  • 15
  • 8
  • 7
  • 6
  • 3
  • 3
  • 3
  • 3
  • 3
  • 3
  • 3
  • 3
  • 3
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Etude de nouvelles voies de passivation non polymérisante pour la gravure profonde du silicium

Duluard, Corinne Ranson, Pierre. January 2009 (has links) (PDF)
Thèse de doctorat : Physique des plasmas : Orléans : 2009. / Titre provenant de l'écran-titre.
2

Étude fondamentale des mécanismes de gravure par plasma de matériaux de pointe : application à la fabrication de dispositifs photoniques

Stafford, Luc January 2005 (has links)
Thèse numérisée par la Direction des bibliothèques de l'Université de Montréal.
3

Contributions à la conception et à la réalisation de transistors MOS à grille multiple

Penaud, Julien Dubois, Emmanuel January 2007 (has links)
Thèse de doctorat : Électronique : Lille 1 : 2006. / N° d'ordre (Lille 1) : 3850. Résumé en français et en anglais. Titre provenant de la page de titre du document numérisé. Bibliogr. à la suite de chaque chapitre. Liste des publications et communications.
4

Développement et caractérisation de procédés de gravure des espaceurs Si3N4 et SiCO pour la technologie FDSOI 14nm. / Development and characterization of spacers etching process for 14 nm FDSOI technology

Garcia barros, Maxime 10 April 2018 (has links)
Les gravures par plasma pour les technologies sub 14nm nécessitent de bien contrôler la gravure de couches très minces de l’ordre du nanomètre, tout en contrôlant la dimension latérale des structures gravées au nanomètre près. Pour les gravures espaceurs, 3 nouveaux défis apparaissent. Le premier est d’obtenir une grande sélectivité des matériaux utilisés par rapport au silicium car l’utilisation de couches d’arrêt est proscrite du fait des contraintes dimensionnelles. Les couches à graver deviennent très fines de l’ordre de 5nm à 6nm, et l’épaisseur de la couche réactive est de 3 nm. Le second défi est le contrôle des dommages induits par le plasma sur la couche silicium leurs effets sur les performances du transistor. De plus pour différencier les zones NMOS et PMOS nous utilisons des croissances sur le silicium par épitaxie. Cette technique est très sensible à l’état de surface et à la contamination. Il faut donc laisser une couche de silicium le plus intact possible. Le dernier est le contrôle du retrait du masque dur et de la hauteur des espaceurs. Cela peut entraîner une épitaxie parasite empêchant la réalisation des transistors.Des études préliminaires ont montré l’intérêt d’utiliser des plasmas à bias pulsé couplé à un ajout de tétrachlorure de silicium afin de réduire la consommation et l’endommagement de la couche de silicium. Nous proposons d’évaluer la nouvelle fenêtre de procédé obtenue ainsi que l’impact de ce procédé sur les performances électriques des circuits-intégrés.Une seconde partie de l’étude sera consacré à l’étude d’une approche novatrice de la gravure des espaceurs. Elle consiste dans un premier temps à modifier la couche que nous voulons retirer par un plasma d’ions légers dans un réacteur de gravure conventionnel. Ce plasma implanté est ensuite retiré sélectivement au plasma non modifié par un bain d’acide fluorhydrique. Nous utiliserons des analyses FTIR, XPS et SIMS afin de caractériser les matériaux modifiés et de comprendre les mécanismes de gravure.Enfin nous évaluerons la compatibilité de ces procédés avec la gravure d’un matériau à basse permittivité : le SiCO. / Plasma etching for sub 14nm technological nodes require a precise control of the etching of thin nanometer-sized layers, while controlling the lateral dimension of nanometer-scale structures. For spacers etching, the 3 mains challenges appear. The first is to obtain high selectivity of the spacer’s materials with respect to silicon or silicon germanium. The use of a chemistry with a stop layer on silicon is prohibited because of the dimensional constraints. The order of the layers to be etched is of 5nm to 6nm and the thickness of the reactive layer is of 3nm. The second challenge is the control of the damaged induced by the plasma on the silicon layer and their effects on the electrical performances. Moreover, an epitaxial growth is used differentiate the NMOS and PMOS zones. This technique is very sensitive to the surface state condition and the contamination. The silicon layer should be as intact as possible. The last challenge is the control of the hard mask consumption or the spacer height. It can lead to an epitaxial growth preventing the transistors realization.Preliminary studies have shown that the use of a bias pulsed plasma coupled with the adding of tetrachlorosilane SiCl4 allows to reduce the consumption and the damaged induced of the silicon layer. We will study the new process window obtained, as well as the impact of this new process on the electrical performances of the integrated circuits.A second part of the study will focus on a new approach for the spacers etching. It consists initially in modifying the layer that we want to remove by a light ion plasma in a conventional etching reactor. The modified layer is then remove selectively to the unmodified layer by a hydrofluoric acid. We performed FTIR, XPS et SIMS analyses to characterize the modified materials and to understand the etching mechanisms.Finally, we will evaluate the compatibility of these processes with the etching of a low-k material: SiCO.
5

Characterization and optimization of high density plasma etching processes for advanced memories application / Caractérisation et optimisation des procédés de gravure plasma haute densité pour application sur des dispositifs de type mémoires électroniques avancées

Rizquez Moreno, Maria Mercedes 08 November 2016 (has links)
Parmi d’autres caractéristiques, la mémoire électronique idéale doit présenter une faible consommation d'énergie, haute densité et de la rapidité en lecture/écriture/effacement. Différents types de mémoires ont été ainsi développées. Un exemple en l’eSTM (Embedded Select Trench Memory). Ce travail de thèse étudie la caractérisation et l'optimisation des procédés de gravure plasma utilisés dans la fabrication de cette nouvelle technologie développée par STMicroelectronics Rousset, l'eSTM. Ce travail a été fortement lié à la caractérisation des parois du réacteur, le plasma lui-même et la surface de la plaquette de silicium. La caractérisation chimique des surfaces exposées aux plasmas a permis de caractériser et d'optimiser ce nouveau procédé de gravure. De plus, cette étude vise également à comprendre les dépôts sur les parois du réacteur qui se produisent pendant la gravure de la tranchée de l’eSTM. Ces interactions sont responsables de l’absence de reproductibilité des procédés de gravure. La gravure plasma est contrôlée par la formation d'une couche de passivation se formant en surface des flancs du silicium. La maitrise de cette couche par les conditions du plasma (pression, puissance source débit de gaz...) a permis de développer un model innovant afin d'optimiser le CD de la tranchée. De plus, cette thèse a également porté sur l'étude des dérives des CD au niveau des STI (Shallow Trench Isolation). Des mesures correctives ont été développées afin de contrôler les sources de variations en créant une nouvelle stratégie de gravure pour corriger la dispersion des CD entre lots (25 plaquettes de silicium). / Among other characteristics, the ideal memory should have low power consumption, fast read/write/erase and high density solution. Different types of memories have been developed to pursuit these specific properties. Example of this attempt is the eSTM (Embedded Select Trench Memory). This PhD work studies the characterization and optimization of the plasma etching processes for this new technology developed by STMicroelectronics, the eSTM. This work has been highly related to the characterization of the reactor walls, the plasma itself and the wafer surface. The main objectives of this thesis are to understand the fundamental mechanisms of the etching processes and to propose innovative solutions to reduce the variations of CD by reaching the good control of the process desired. This thesis would help for the enhancement of our knowledge on the physical phenomena which happens during this process, especially the passivation. This would offer the possibility of optimize the etch process and get the best CD (Critical Dimension) in terms of electrical results. The emphasis, was put on the characterization to get the maximum knowledge about the interactions taking place during the process, such as plasma-surface interactions and plasma-reactor wall interactions. Furthermore, this thesis was also focused on the optimization of the process drifts at STI (Shallow Trench Isolation) level, since the reproducibility of production processes generates serious concerns in making the component of the chips. Therefore, corrective actions were developed to control the source of variations by creating a regulation loop able to correct the CD dispersion between lots (25wafers).
6

Conception, fabrication et caractérisation d'un microcommutateur radio fréquences pour des applications de puissance

Muller, Philippe Collard, Dominique. January 2007 (has links)
Reproduction de : Thèse de doctorat : Électronique : Lille 1 : 2005. / N° d'ordre (Lille 1) : 3631. Résumé en français et en anglais. Titre provenant de la page de titre du document numérisé. Bibliogr. à la suite de chaque chapitre. Liste des publications.
7

Développement de procédés de gravure à base de plasmas réactifs pulsés Pulsed plasmas for etch applications

Haass, Moritz 06 November 2012 (has links) (PDF)
Du fait de la réduction des dimensions en microélectronique, les procédés de gravure par plasmas ne peuvent plus satisfaire aux exigences de l'industrie. De nouvelles stratégies sont en cours de développement. Ce travail consiste en l'étude de plasmas pulsés de HBr/O2 comme une alternative pour la gravure du silicium. Divers diagnostics dans un réacteur industriel 300 mm sont utilisés pour caractériser le plasma tandis que la gravure du silicium est étudiée par XPS et par microscopie électronique. Lorsque le plasma est pulsé à faible rapport cyclique, sa température et sa dissociation sont fortement réduits. Le flux de Br radicalaire par rapport à la période ON du plasma augmente tandis que l'influence du radical O diminue, ce qui conduit à une amélioration de la sélectivité par rapport au SiO2 et à une gravure plus homogène. Les profils des structures gravées peuvent être contrôlés par la formation de la couche de passivation sur les flancs dépendant également du rapport cyclique.
8

Développement de procédés de gravure à base de plasmas réactifs pulsés Pulsed plasmas for etch applications / Pulsed Plasmas for Etch Applications

Haass, Moritz 06 November 2012 (has links)
Du fait de la réduction des dimensions en microélectronique, les procédés de gravure par plasmas ne peuvent plus satisfaire aux exigences de l'industrie. De nouvelles stratégies sont en cours de développement. Ce travail consiste en l'étude de plasmas pulsés de HBr/O2 comme une alternative pour la gravure du silicium. Divers diagnostics dans un réacteur industriel 300 mm sont utilisés pour caractériser le plasma tandis que la gravure du silicium est étudiée par XPS et par microscopie électronique. Lorsque le plasma est pulsé à faible rapport cyclique, sa température et sa dissociation sont fortement réduits. Le flux de Br radicalaire par rapport à la période ON du plasma augmente tandis que l'influence du radical O diminue, ce qui conduit à une amélioration de la sélectivité par rapport au SiO2 et à une gravure plus homogène. Les profils des structures gravées peuvent être contrôlés par la formation de la couche de passivation sur les flancs dépendant également du rapport cyclique. / The continuous downscaling in microelectronics imposes increasing demands on the plasma processes and traditional ways for process optimization reach their limits. New strategies are needed and innovations in the field of plasma processes are being developed: e.g. the use of pulsed plasmas. In this thesis, a pulsed HBr/O2 etch plasma is studied. Various in-situ diagnostics are used to characterize pulsed plasmas in an industrial 12” etch reactor. The silicon etching is investigated by XPS and electron microscopy. We show that the plasma dissociation and temperature are reduced if the plasma is pulsed at low duty cycles. The Br radical flux with respect to the on-time of the plasma is increased and the influence of the O radical is decreased, leading to enhanced time compensated silicon etch rates, a higher selectivity towards SiO2 and a more homogeneous etching. The pattern profiles can be controlled via the sidewall passivation layer formation that is closely linked to the duty cycle.
9

Étude de la cinétique et des dommages de gravure par plasma de couches minces de nitrure d’aluminium

Morel, Sabrina 08 1900 (has links)
Une étape cruciale dans la fabrication des MEMS de haute fréquence est la gravure par plasma de la couche mince d’AlN de structure colonnaire agissant comme matériau piézoélectrique. Réalisé en collaboration étroite avec les chercheurs de Teledyne Dalsa, ce mémoire de maîtrise vise à mieux comprendre les mécanismes physico-chimiques gouvernant la cinétique ainsi que la formation de dommages lors de la gravure de l’AlN dans des plasmas Ar/Cl2/BCl3. Dans un premier temps, nous avons effectué une étude de l’influence des conditions opératoires d’un plasma à couplage inductif sur la densité des principales espèces actives de la gravure, à savoir, les ions positifs et les atomes de Cl. Ces mesures ont ensuite été corrélées aux caractéristiques de gravure, en particulier la vitesse de gravure, la rugosité de surface et les propriétés chimiques de la couche mince. Dans les plasmas Ar/Cl2, nos travaux ont notamment mis en évidence l’effet inhibiteur de l’AlO, un composé formé au cours de la croissance de l’AlN par pulvérisation magnétron réactive et non issu des interactions plasmas-parois ou encore de l’incorporation d’humidité dans la structure colonnaire de l’AlN. En présence de faibles traces de BCl3 dans le plasma Ar/Cl2, nous avons observé une amélioration significative du rendement de gravure de l’AlN dû à la formation de composés volatils BOCl. Par ailleurs, selon nos travaux, il y aurait deux niveaux de rugosité post-gravure : une plus faible rugosité produite par la présence d’AlO dans les plasmas Ar/Cl2 et indépendante de la vitesse de gravure ainsi qu’une plus importante rugosité due à la désorption préférentielle de l’Al dans les plasmas Ar/Cl2/BCl3 et augmentant linéairement avec la vitesse de gravure. / A crucial step in the fabrication of high-frequency MEMS is the etching of the columnar AlN thin film acting as the piezoelectric material. Realized in close collaboration with researchers from Teledyne Dalsa, the objective of this master thesis is to better understand the physico-chemical mechanisms driving the etching kinetics and damage formation dynamics during etching of AlN in Ar/Cl2/BCl3 plasmas. In the first set of experiments, we have studied the influence of the operating parameters of an inductively coupled plasma on the number density of the main etching species in such plasmas, namely positive ions and Cl atoms. These measurements were then correlated with the etching characteristics, in particular the etching rate, the surface roughness, and the chemical properties of the AlN layer after etching. In Ar/Cl2 plasmas, our work has highlighted the inhibition effect of AlO, a compound formed during the AlN growth by reactive magnetron sputtering and not from plasma-wall interactions or from the incorporation of moisture in the columnar nanostructure of AlN. In presence of small amounts of BCl3 in the Ar/Cl2 plasma, we have observed a significant increase of the etching yield of AlN due to the formation of volatile BOCl compounds. Furthermore, our work has demonstrated that there are two levels of roughness following etching: a lower roughness produced by the presence of AlO in Ar/Cl2 plasmas which is independent of the etching rate and a larger roughness due to preferential desorption of Al in Ar/Cl2/BCl3 plasmas which increases linearly with the etching rate.
10

Technologies microsystèmes avancées pour le fonctionnement de dispositifs en milieu liquide et les applications nanométriques

Rollier, Anne-Sophie Collard, Dominique. Buchaillot, Lionel. January 2007 (has links)
Reproduction de : Thèse de doctorat : Microondes et microtechnologies : Lille 1 : 2006. / N° d'ordre (Lille 1) : 3891. Titre provenant de la page de titre du document numérisé. Bibliogr. à la suite de chaque chapitre.

Page generated in 0.0561 seconds