• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 10
  • 5
  • 4
  • Tagged with
  • 21
  • 21
  • 21
  • 7
  • 6
  • 5
  • 5
  • 5
  • 5
  • 4
  • 4
  • 4
  • 3
  • 3
  • 3
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
11

Investigation of Gate Dielectric Materials and Dielectric/Silicon Interfaces for Metal Oxide Semiconductor Devices

Han, Lei 01 January 2015 (has links)
The progress of the silicon-based complementary-metal-oxide-semiconductor (CMOS) technology is mainly contributed to the scaling of the individual component. After decades of development, the scaling trend is approaching to its limitation, and there is urgent needs for the innovations of the materials and structures of the MOS devices, in order to postpone the end of the scaling. Atomic layer deposition (ALD) provides precise control of the deposited thin film at the atomic scale, and has wide application not only in the MOS technology, but also in other nanostructures. In this dissertation, I study rapid thermal processing (RTP) treatment of thermally grown SiO2, ALD growth of SiO2, and ALD growth of high-k HfO2 dielectric materials for gate oxides of MOS devices. Using a lateral heating treatment of SiO2, the gate leakage current of SiO2 based MOS capacitors was reduced by 4 order of magnitude, and the underlying mechanism was studied. Ultrathin SiO2 films were grown by ALD, and the electrical properties of the films and the SiO2/Si interface were extensively studied. High quality HfO2 films were grown using ALD on a chemical oxide. The dependence of interfacial quality on the thickness of the chemical oxide was studied. Finally I studied growth of HfO2 on two innovative interfacial layers, an interfacial layer grown by in-situ ALD ozone/water cycle exposure and an interfacial layer of etched thermal and RTP SiO2. The effectiveness of growth of high-quality HfO2 using the two interfacial layers are comparable to that of the chemical oxide. The interfacial properties are studied in details using XPS and ellipsometry.
12

Elaboration et caractérisation des grilles métalliques pour les technologiesCMOS 32 / 28 nm à base de diélectrique haute permittivité / Metal gate manufacturing and characterization for high-k based 32/28nm CMOS technologies

Baudot, Sylvain 26 October 2012 (has links)
Cette thèse porte sur l'élaboration et la caractérisation des grilles métalliques en TiN, aluminium et lanthane pour les technologies CMOS gate-first à base d'oxyde high-k HfSiON. L'effet de l'épaisseur et de la composition des dépôts métalliques a été caractérisé sur les paramètres de la technologie 32/28nm. Ces résultats ont été reliés à une variation de travail de sortie au vide du TiN, à des dipôles induits par l'Al et le La à l'interface HfSiON/SiON et à leur diminution aux petites épaisseurs de SiON (roll-off). Nous avons montré que l'aluminium déposé sous forme métallique dans le TiN cause une diminution de son travail de sortie, opposée au faible dipôle positif induit par l'Al. Nous avons évalué l'influence du roll-off pour ces différents métaux et mis en évidence pour la première fois sa forte dépendance avec l'épaisseur de lanthane déposée. Le développement de procédés de dépôt de TiN, Al, La a permis d'accroître les bénéfices de ces matériaux pour la technologie CMOS 32/28nm. / This thesis is about the manufacturing and the characterization of TiN, aluminum and lanthanum metal gate for high-k based 32/28nm CMOS technologies. The effect of metal gate layer thickness and composition has been characterized on 32/28nm technology parameters. These results have been related to a change in the TiN vacuum work function, to Al- and La- induced dipoles at the HfSiON/SiON interface or their lowering on thin SiON (roll-off). We have shown that metallic aluminum introduced in the TiN metal gate causes a work function lowering, opposed to the weak Al-induced dipole. We have evaluated the roll-off influence for theses different metals. For the first time we report the strong roll-off dependence with the deposited lanthanum thickness. Newly developed TiN, Al, La deposition processes have brought benefits for the CMOS 32/28nm technology
13

Étude de filtres hyperfréquence SIW et hybride-planaire SIW en technologie LTCC / Design of hybrid-planar SIW High frequency filter in LTCC Technology

Garreau, Jonathan 05 December 2012 (has links)
La maîtrise de la communication et de l'information est un atout primordial dans les stratégies de pouvoir, qu'elles soient militaires, politiques ou commerciales. Celui qui est capable de transmettre l'information plus vite prend l'avantage sur les autres. Tel est le moteur de la croissance et du progrès dans le domaine des télécommunications. L'omniprésence grandissante des dispositifs communicants témoigne de l'expansion exponentielle qu'a connu ce domaine depuis les premières communications sans fil. À l'époque du all-in-one, la multiplication des applications au sein d'un même appareil nécessite l'utilisation de composants toujours plus performants et petits . Au cœur de ces systèmes, les filtres ont une importance grandissante. Dans un environnement spatial, les contraintes de fiabilité et d'encombrement sont particulièrement drastiques. Le choix des matériaux est par ailleurs limité, ce qui réduit les possibilités d'innovation. Cependant, l'amélioration de la précision et de la fiabilité dans les technologies de fabrication ouvre de nouvelles perspectives d'innovation et d'amélioration des composants. Ces travaux ont ainsi été motivés par ce souci d'apporter toujours plus de performance et de fiabilité, pour un encombrement moindre en tirant profit du potentiel offert par l'association du concept SIW et de la technologie LTCC. Les résultats mettent à jour de sérieuses dispersions technologiques. Cependant, le potentiel de l'association SIW/LTCC est démontré, et les difficultés rencontrées sont surmontables. Les filtres SIW en technologie LTCC présentent donc des atouts pour s'imposer comme une alternative sérieuse aux solutions existantes. / Control of communication and information is a key asset in the strategies of power, whether military, political or commercial. Whoever is able to transmit information faster takes advantage of others. This is the engine of growth and progress in the field of telecommunications. The growing ubiquity of communicating devices demonstrates the exponential growth experienced by this area since the first wireless communications. At the time of all-in-one, multiple applications within a single device requires the use of ever more powerful and small components. At the heart of these systems, filters are becoming increasingly important. In a space environment, reliability constraints and space are particularly dramatic. The choice of materials is also limited, which reduces the possibilities of innovation. However, the improvement of accuracy and reliability in manufacturing technologies opens new opportunities for innovation and improved components. This work has been motivated by the desire to bring more performances and reliability, a smaller footprint by taking advantage of the potential offered by combining the concept SIW and LTCC. The results update serious technological dispersions. However, the potential association SIW / LTCC is shown, and the difficulties are surmountable. SIW filters in LTCC therefore have advantages for itself as a serious alternative to existing solutions.
14

Electron transport in graphene transistors and heterostructures : towards graphene-based nanoelectronics

Kim, Seyoung, 1981- 12 July 2012 (has links)
Two graphene layers placed in close proximity offer a unique system to investigate interacting electron physics as well as to test novel electronic device concepts. In this system, the interlayer spacing can be reduced to value much smaller than that achievable in semiconductor heterostructures, and the zero energy band-gap allows the realization of coupled hole-hole, electron-hole, and electron-electron two-dimensional systems in the same sample. Leveraging the fabrication technique and electron transport study in dual-gated graphene field-effect transistors, we realize independently contacted graphene double layers separated by an ultra-thin dielectric. We probe the resistance and density of each layer, and quantitatively explain their dependence on the backgate and interlayer bias. We experimentally measure the Coulomb drag between the two graphene layers for the first time, by flowing current in one layer and measuring the voltage drop in the opposite layer. The drag resistivity gauges the momentum transfer between the two layers, which, in turn, probes the interlayer electron-electron scattering rate. The temperature dependence of the Coulomb drag above temperatures of 50 K reveals that the ground state in each layer is a Fermi liquid. Below 50 K we observe mesoscopic fluctuations of the drag resistivity, as a result of the interplay between coherent intralayer transport and interlayer interaction. In addition, we develop a technique to directly measure the Fermi energy in an electron system as a function of carrier density using double layer structure. We demonstrate this method in the double layer graphene structure and probe the Fermi energy in graphene both at zero and in high magnetic fields. Last, we realize dual-gated bilayer graphene devices, where we investigate quantum Hall effects at zero energy as a function of transverse electric field and perpendicular magnetic field. Here we observe a development of v = 0 quantum Hall state at large electric fields and in high magnetic fields, which is explained by broken spin and valley spin symmetry in the zero energy Landau levels. / text
15

Synthesis of Thin Piezoelectric AlN Films in View of Sensors and Telecom Applications

Moreira, Milena De Albuquerque January 2014 (has links)
The requirements of the consumer market on high frequency devices have been more and more demanding over the last decades. Thus, a continuing enhancement of the devices’ performance is required in order to meet these demands. In a macro view, changing the design of the device can result in an improvement of its performance. In a micro view, the physical properties of the device materials have a strong influence on its final performance. In the case of high frequency devices based on piezoelectric materials, a natural way to improve their performance is through the improvement of the properties of the piezoelectric layer. The piezoelectric material studied in this work is AlN, which is an outstanding material among other piezoelectric materials due to its unique combination of material properties. This thesis presents results from experimental studies on the synthesis of AlN thin films in view of telecom, microelectronic and sensor applications. The main objective of the thesis is to custom design the functional properties of AlN to best suit these for the specific application in mind. This is achieved through careful control of the crystallographic structure and texture as well as film composition. The piezoelectric properties of AlN films were enhanced by doping with Sc. Films with different Sc concentrations were fabricated and analyzed, and the coupling coefficient (kt2) was enhanced a factor of two by adding 15% of Sc to the AlN films. The enhancement of kt2 is of interest since it can contribute to a more relaxed design of high frequency devices. Further, in order to obtain better deposition control of c-axis tilted AlN films, a new experimental setup were proposed. When this novel setup was used, films with well-defined thicknesses and tilt uniformity were achieved. Films with such characteristics are very favorable to use in sensors based on electroacoustic devices operating in viscous media. Studies were also performed in order to obtain c-axis oriented AlN films deposited directly on Si substrates at reduced temperatures. The deposition technique used was HiPIMS, and the results indicated significant improvements in the film texture when comparing to the conventional Pulsed DC deposition process.
16

Mémoires embarquées non volatiles à grille flottante : challenges technologiques et physiques pour l’augmentation des performances vers le noeud 28nm / Embedded Non-volatile 1T floating-gate memories : technological and physical challenges for augmenting performance towards the 28 nm node

Dobri, Adam 13 July 2017 (has links)
Les mémoires flash sont intégrées dans presque tous les aspects de la vie moderne car leurs uns et zéros représentent les données stockées sur les cartes à puce et dans les capteurs qui nous entourent. Dans les mémoires flash à grille flottante ces données sont représentées par la quantité de charge stockée sur une grille en poly-Si, isolée par un oxyde tunnel et un diélectrique entre grilles (IGD). Au fur et à mesure que les chercheurs et les ingénieurs de l'industrie microélectronique poussent continuellement les limites de mise à l'échelle, la capacité des dispositifs à contenir leurs informations risque de devenir compromise. Même la perte d'un électron par jour est trop élevée et entraînerait l'absence de conservation des données pendant dix ans. Étant trop faibles, les courants de fuite sont impossible à mesurer directement. Cette thèse présente une nouvelle méthode, la séparation du stress aux oxydes (OSS), pour mesurer ces courants en suivant les changements de la tension de seuil de la cellule flash. La nouveauté de la technique est que les conditions de polarisation sont sélectionnées afin que le stress se produise entièrement dans l'IGD, permettant la reconstruction d'une courbe IV de l'IGD à des tensions faibles. Cette thèse décrit également les changements de processus nécessaires pour intégrer la première mémoire flash embarquée de 40 nm basée sur un IGD d'alumine, en remplacement du SiO2/ Si3N4/SiO2 standard. L'intérêt pour les matériaux high-k vient de la motivation de créer un IGD qui est électriquement mince pour augmenter le couplage tout en étant physiquement épais pour bloquer le transport de charge. Comme la flash intégrée au noeud de 40 nm se rapproche de la production, l'approche à prendre dans les nœuds futurs doit également être discutée. Cela fournit la motivation pour le chapitre final de la thèse qui traite de la co-intégration des différents IGD avec des dispositifs logiques ayant les gilles « high-k metal » nécessaires à 28 nm et au-delà. / Flash memory circuits are embedded in almost every aspect of modern life as their ones and zeros represent the data that is stored on smart cards and in the sensors around us. In floating gate flash memories this data is represented by the amount of charge stored on a poly-Si gate, isolated by a tunneling oxide and an Inter Gate Dielectric (IGD). As the microelectronics industry’s researchers and engineering continuously push the scaling limits, the ability of the devices to hold their information may become compromised. Even the loss of one electron per day is too much and would result in the failure to retain the data for ten years. At such low current densities, the direct measurement of the leakage current is impossible. This thesis presents a new way, Oxide Stress Separation, to measure these currents by following the changes in the threshold voltage of the flash cell. The novelty of the technique is that the biasing conditions are selected such that the stress occurs entirely in the IGD, allowing for the reconstruction of an IV curve of the IGD at low biases. This thesis also describes the process changes necessary to integrate the world’s first 40 nm embedded flash based on an alumina IGD, in replacement of the standard SiO2/Si3N4/SiO2. The interest in high-k materials comes from the motivation to make an IGD that is electrically thin to increase coupling while being physically thick to block charge transport. As embedded flash at the 40 nm node nears production, the approach to be taken in future nodes must also be discussed. This provides the motivation for the final chapter of the thesis which discusses the co-integration of the different IGDs with logic devices having the high-k metal gates necessary at 28 nm and beyond.
17

Etude des filtres miniatures LTCC High K en bandes L&S / LTCC High K miniature filters in L and S bands

Guerrero Enriquez, Rubén Dario 24 June 2016 (has links)
Dans les systèmes actuels de communication, qu’ils soient terrestre ou spatial, qu’ils soient mobile ou fixe, il y a un réel intérêt à développer des front-ends radiofréquences et hyperfréquences miniatures et performants. Ceci s’applique en particulier aux dispositifs de filtrage où l’encombrement et les facteurs de qualité sont clairement antagonistes. Pour les bandes de fréquences basses aux alentours du GHz, les longueurs d’onde restent encore importantes, rendant difficiles les efforts de miniaturisation. D’autre part il faut aussi s’assurer que ces filtres viendront s’interconnecter aisément avec les autres composants du système, notamment les actifs.Pour toutes ces raisons, le développement de structures de filtres multicouches utilisant des substrats à haute permittivité (εr = 68) selon une approche LTCC apparait comme une alternative intéressante. Elle peut en effet conduire à une réduction significative de l'empreinte (footprint) sans pour autant trop nuire aux performances électriques.Dans le cadre de ce travail, deux structures de filtres multicouches ont été développées pour répondre à des spécifications proposées en bandes L et S, par un équipementier du spatial. Ces filtres ont pour caractéristiques principales un haut niveau de rejection et des faibles pertes dans la bande passante. Pour atteindre les spécifications, un filtre SIW empilé verticalement et un filtre à stubs en court-circuit en configuration triplaque ont été étudiés. Le filtre SIW se distingue par un facteur de qualité élevé, ce qui entraîne des faibles pertes d’insertion et une bonne platitude. La solution à stub permet quant à elle de réduire l’encombrement mais au prix d’un impact sur les performances électriques. Dans les deux cas on tire parti de la souplesse offerte par la technologie LTCC, puisqu’elle offre finalement un degré de liberté supplémentaire, par rapport à une approche planaire classique. Si dans le cas SIW, c’est surtout l’architecture topologique qui a été étudiée finement pour pouvoir agencer et coupler douze cavités, dans le cas du filtre à stub une synthèse mettant à profit tous les degrés de liberté offerts a été spécifiquement développée.Compte tenu de la complexité des filtres, notamment à cause de l’ordre élevé et de la mise en oeuvre de murs « électriques » à partir d’arrangements de via spécifiques, une attention particulière doit être apportée lors des phases de simulation et d’optimisation. De plus la très forte permittivité du substrat ne permet pas d’utiliser de ligne 50 Ohms. Enfin les transitions constituent un point dur de l’exercice surtout dans le cas SIW.Cette thèse co-financée par le CNES (Centre National d'Etudes Spatiales) et Thales Alenia Space, était accompagnée par un projet R&T financé par le CNES. Le fondeur allemand Via Electronic avait en charge la fabrication des filtres. / In current communication systems, whether terrestrial or spatial, whether fixed or mobile, there is a real interest in developing high performance miniature RF front-ends. This is applied in particular to filter devices, in which the size and the quality factors are clearly in conflict. For low frequency bands around the GHz, the wavelengths remain significant, making it difficult the miniaturization efforts. On the other hand, we must also ensure that these filters will be easily interconnected with other other system components, including active devices.For all these reasons, the development of multilayer filter structures using high permittivity substrates (Er = 68) in an LTCC approach is consolidated as an interesting alternative. It may lead to a significant footprint reduction without decreasing the electrical performances.As part of this work, two multilayer filter structures have been developed to meet the given specifications in L and S bands, given by a space manufacturer. These filters have as main features a high rejection level and low losses in the passband. To meet the specifications, a vertically stacked SIW filter and a short-circuited stubs filter in a stripline configuration were studied. The SIW filter is characterized by a high quality factor, which results in low insertion loss and good flatness. The stubs filter allows in contrast to reduce the footprint but at the price of impacting the electrical performance. In both cases we take advantage of the flexibility offered by the LTCC technology as it finally provides an additional freedom degree compared to a conventional planar approach. For the SIW filter, the topological architecture was studied and designed in detail, to be able to arrange and synthetize couplings between twelve cavities. In a similar way, for the stub filter a synthesis that takes profit of all the offered freedom degrees was developed.Given the filters complexity, especially due to the high order and the implementation of “electrical walls" based on specific vias patterns, a close attention must be paid during the simulation and optimization phase. In addition, the high permittivity substrate does not allow to conceive 50-Ohms lines. Finally, access transitions constitute a challenging task, especially for the SIW case.This thesis was co-funded by CNES (Centre National d'Etudes Spatiales) and Thales Alenia Space, and was accompanied by an R&T project funded by CNES. The German foundry Via Electronic was responsible for the filters fabrication.
18

Process Dependence of Defects and Dopants in Wide Band Gap Semiconductor and Oxides

Zhang, Zhichun 24 July 2013 (has links)
No description available.
19

Extraction of the active acceptor concentration in (pseudo-) vertical GaN MOSFETs using the body-bias effect

Hentschel, R., Wachowiak, A., Großer, A., Kotzea, S., Debald, A., Kalisch, H., Vescan, A., Jahn, A., Schmult, S., Mikolajick, T. 10 October 2022 (has links)
We report and discuss the performance of an enhancement mode n-channel pseudo-vertical GaN metal oxide semiconductor field effect transistor (MOSFET). The trench gate structure of the MOSFET is uniformly covered with an Al₂O₃ dielectric and TiN electrode material, both deposited by atomic layer deposition (ALD). Normally-off device operation is demonstrated in the transfer characteristics. Special attention is given to the estimation of the active acceptor concentration in the Mg doped body layer of the device, which is crucial for the prediction of the threshold voltage in terms of device design. A method to estimate the electrically active dopant concentration by applying a body bias is presented. The method can be used for both pseudo-vertical and truly vertical devices. Since it does not depend on fixed charges near the channel region, this method is advantageous compared to the estimation of the active doping concentration from the absolute value of the threshold voltage.
20

Ingénierie de jonctions tunnel pour améliorer les performances du transistor mono-électronique métallique / Tunnel junction engineering to improve metallic single electron transistor performances

El Hajjam, Khalil January 2016 (has links)
Résumé: Aujourd’hui plusieurs obstacles technologiques et limitations physiques s’opposent à la poursuite de la miniaturisation de la technologie CMOS : courants de fuite, effet de canal court, effet de porteurs chauds et fiabilité des oxydes de grille. Le transistor à un électron (SET) fait partie des composants émergents candidats pour remplacer les transistors CMOS ou pour constituer une technologie complémentaire à celle-ci. Ce travail de thèse traite de l’amélioration des caractéristiques électriques du transistor à un électron en optimisant ses jonctions tunnel. Cette optimisation commence tout d’abord par une étude des modes de conduction à travers la jonction tunnel. Elle se conclut par le développement d’une jonction tunnel optimisée basée sur un empilement de matériaux diélectriques (principalement Al[indice inférieur 2]O[indice inférieur 3], H[florin]O[indice inférieur 2] et TiO[indice inférieur 2]) ayant des propriétés différentes en termes de hauteurs de barrières et de permittivités relatives. Ce manuscrit présente, la formulation des besoins du SET et de ses jonctions tunnel, le développement d’outils de simulation appropriés - basés sur les Matrices de transmission - pour la simulation du courant des jonctions tunnel du SET, l’identification des stratégies d’optimisation de ces dernières, grâce aux simulations et finalement l’étude expérimentale et l’intégration technologique des jonctions tunnel optimisées dans le procédé de fabrication de SET métallique en utilisant la technique de dépôt par couches atomiques (ALD). Ces travaux nous ont permis de prouver l’intérêt majeur de l’ingénierie des jonctions tunnel du SET pour accroitre son courant à l’état passant, réduire son courant de fuite et étendre son fonctionnement à des températures plus élevées. / Abstract: Today, several technological barriers and physical limitations arise against the miniaturization of the CMOS: leakage current, short channel effects, hot carrier effect and the reliability of the gate oxide. The single electron transistor (SET) is one of the emerging components most capable of replacing CMOS technology or provide it with complementary technology. The work of this thesis deals with the improvement of the electrical characteristics of the single electron transistor by optimizing its tunnel junctions. This optimization initially starts with a study of conduction modes through the tunnel junction. It concludes with the development of an optimized tunnel junction based on a stack of dielectric materials (mainly Al[subscript 2]O[subscript 3], H[florin]O[subscript 2] and TiO[subscript 2]), having different properties in terms of barrier heights and relative permittivities. This document, therefore, presents the theoretical formulation of the SET’s requirements and of its tunnel junctions, the development of appropriate simulation tools - based on the transmission matrix model- for the simulation of the SET tunnel junctions current, the identification of tunnel junctions optimization strategies from the simulations results and finally the experimental study and technological integration of the optimized tunnel junctions into the metallic SET fabrication process using the atomic layer deposition (ALD) technique. This work allowed to démonstrate the significance of SET tunnel junctions engineering in order to increase its operating current while reducing leakage and improving its operation at higher temperatures.

Page generated in 0.04 seconds