• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 333
  • 53
  • 44
  • 40
  • 17
  • 17
  • 12
  • 11
  • 4
  • 3
  • 2
  • 2
  • 1
  • 1
  • 1
  • Tagged with
  • 670
  • 161
  • 116
  • 88
  • 86
  • 63
  • 56
  • 55
  • 50
  • 50
  • 45
  • 41
  • 41
  • 41
  • 39
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
181

Integration of Micro Patterning Techniques into Volatile Functional Materials and Advanced Devices

Hong, Jung M. 2009 May 1900 (has links)
Novel micro patterning techniques have been developed for the patterning of volatile functional materials which cannot be conducted by conventional photolithography. First, in order to create micro patterns of volatile materials (such as bio-molecules and organic materials), micro-contact printing and shadow mask methods are investigated. A novel micro-contact printing technique was developed to generate micro patterns of volatile materials with variable size and density. A PDMS (Polydimethylsiloxane) stamp with 2-dimensional pyramidal tip arrays has been fabricated by anisotropic silicon etching and PDMS molding. The variable size of patterns was achieved by different external pressures on the PDMS stamp. A novel inking process was developed to enhance the uniformity and repeatability in micro-contact printing. The variable density of patterns could be obtained by alignment using x-y transitional stage and multiple stamping with a z-directional moving part. Second, for direct patterning of small molecule organic materials (e.g. pentacene), a novel shadow mask method has been developed with a simple and accurate alignment system. To make accurate dimensions of patterning windows, a silicon wafer was used for the shadow mask since a conventional semiconductor process gives a great advantage for accurate and repeatable fabrication processes. A sphere ball alignment system was developed for the accurate alignment between the shadow mask and the silicon substrate. In this alignment system, four matching pyramidal cavities were fabricated on each side of the shadow mask and silicon wafer substrate using an anisotropic silicon bulk etching. By placing four steel spheres in between the matching cavities, the self-alignment system could be demonstrated with 2-3um alignment accuracy in x-y directions. For OTFT (Organic thin film transistor) application, an organic semiconducting layer was directly deposited and patterned on the substrate using the developed shadow mask method. On the other hand, novel embedding techniques were developed for enabling conventional semiconductor processes including photolithography to be applied on the small substrate. The polymer embedding method was developed to provide an extended processing area as well as easy handling of the small substrate. As an application, post CMOS (Complementary metal-oxide-semiconductor) integration of a relatively large microstructure which might be even larger than the substrate was demonstrated on a VCO (Voltage-controlled oscillator) chip. In addition, micro patterning on the optical fiber was demonstrated by using a silicon wafer holder designed to surround and hold the optical fiber. The micro Fresnel lens could be successfully patterned and integrated on the optical fiber end.
182

Modeling and Simulation of Advanced Nano-Scale Very Large Scale Integration Circuits

Zhou, Ying 2010 May 1900 (has links)
With VLSI(very large scale integration) technology shrinking and frequency increasing, the minimum feature size is smaller than sub-wavelength lithography wavelength, and the manufacturing cost is significantly increasing in order to achieve a good yield. Consequently design companies need to further lower power consumption. All these factors bring new challenges; simulation and modeling need to handle more design constraints, and need to work with modern manufacturing processes. In this dissertation, algorithms and new methodology are presented for these problems: (1) fast and accurate capacitance extraction, (2) capacitance extraction considering lithography effect, (3) BEOL(back end of line) impact on SRAM(static random access memory) performance and yield, and (4) new physical synthesis optimization flow is used to shed area and reduce the power consumption. Interconnect parasitic extraction plays an important role in simulation, verification, optimization. A fast and accurate parasitic extraction algorithm is always important for a current design automation tool. In this dissertation, we propose a new algorithm named HybCap to efficiently handle multiple planar, conformal or embedded dielectric media. From experimental results, the new method is significantly faster than the previous one, 77X speedup, and has a 99% memory savings compared with FastCap and 2X speedup, and has an 80% memory savings compared with PHiCap for complex dielectric media. In order to consider lithography effect in the existing LPE(Layout Parasitic Extraction) flow, a modified LPE flow and fast algorithms for interconnect parasitic extraction are proposed in this dissertation. Our methodology is efficient, compatible with the existing design flow and has high accuracy. With the new enhanced parasitic extraction flow, simulation of BEOL effect on SRAM performance becomes possible. A SRAM simulation model with internal cell interconnect RC parasitics is proposed in order to study the BEOL lithography impact. The impact of BEOL variations on memory designs are systematically evaluated in this dissertation. The results show the power estimation with our SRAM model is more accurate. Finally, a new optimization flow to shed area blow in the design synthesis flow is proposed, which is one level beyond simulation and modeling to directly optimize design, but is also built upon accurate simulations and modeling. Two simple, yet efficient, buffering and gate sizing techniques are presented. On 20 industrial designs in 45nm and 65nm, our new work achieves 12.5% logic area growth reduction, 5.8% total area reduction, 10% wirelength reduction and 770 ps worst slack improvement on average.
183

Characterization and microfabrication of environmentally sensitive materials for studying bacterial group behaviors

Connell, Jodi Lynn 14 November 2013 (has links)
This dissertation describes the development and application of an approach for creating multiphoton crosslinked protein microchambers to characterize bacterial group behaviors in small populations (~10¹ - 10⁵ cells). Porous protein cavities of desired size and geometry are made with sub-micrometer three-dimensional (3D) resolution using a dynamic mask-based multiphoton lithography (MPL) technique previously developed in the Shear Group. One aspect of this dissertation focuses on basic characterizations of properties of these materials key to their utility in studying entrapped bacteria. Studies are presented on the mass transport across microcavity walls (important for growth and signaling), and the temperature- and light-induced volume response (used to open/close microchamber apertures for cell entry/exit). Fabrication parameters are optimized to trap and manipulate small populations under in vitro conditions that are relevant to in vivo environments. The ability to culture bacteria at physiologic growth rates within protein microstructures has provided a unique platform to study the group behaviors of quorum sensing (QS) and antibiotic resistance in biologically relevant population sizes, a platform I have exploited to study group behaviors in the opportunistic pathogen, Pseudomonas aeruginosa. This work presents the first experimental evidence supporting the efficiency sensing QS model by showing that QS-dependent gene expression is affected by both the population size and density, as well the external flow rate in the surrounding environment. The onset of antibiotic resistance is observed in as few as ~150 P. aeruginosa cells, and is shown to increase with cell density. Lastly, the development of a gelatin-based MPL approach that is demonstrated in situ to create confined populations of non-motile cells, free-floating 3D cultures, nested colonies, and spatially patterned polymicrobial communities of P. aeruginosa and Staphylococcus aureus. / text
184

Dynamic Hybrid Materials: Hydrogel Actuators and Catalytic Microsystems

Zarzar, Lauren Dell 30 September 2013 (has links)
Dynamic materials which can sense changes in their surroundings and subsequently respond or adapt by autonomously altering their functionality, surface chemistry, transparency, color, wetting behavior, adhesiveness, shape, etc. are primed to be integral components of future "smart" technologies. However, such systems can be quite complex and often require intricate coordination between both chemical and mechanical inputs/outputs as well as the combination of multiple materials working cooperatively to achieve the proper functionality. It is critical to not only understand the fundamental behaviors of existing dynamic chemo-mechanical systems, but also to apply that knowledge and explore new avenues for design of novel materials platforms which could provide a basis for future adaptive technologies. Part 1 explores the use of environmentally-sensitive hydrogels, either alone or within arrays of high-aspect-ratio nano/microstructures, as chemo-mechanical actuators. Chapters 1 through 7 describe a bio-inspired approach to the design of hybrid actuating surfaces in which the volume-changing hydrogel acts as the “muscle” that reversibly actuates the microstructured "bone". In particular, the different actuation mechanisms arising from variations in how the hydrogel is integrated into the structure array, how chemical signals can be used to manipulate actuation parameters, and finally how such a system may be used for applications ranging from adaptive optics to manipulation of chemical reactions are described. Chapter 8 discusses the use of responsive hydrogel scaffolds as a means to mechanically compress cells and direct differentiation. Part II explores dynamic microsystems involving the integration of catalytic sites within intricately structured 3D microenvironments. Specifically, we explore a generalizable and straightforward route to fabricate microscale patterns of nanocrystalline platinum and palladium using multiphoton lithography. The catalytic, electrical, and electrochemical properties are characterized, and we demonstrate high resolution integration of catalysts within 3D-defined microenvironments to generate directed particle and fluid transport. / Chemistry and Chemical Biology
185

Materials and processes for advanced lithography applications

Jen, Wei-Lun Kane 25 January 2011 (has links)
Step and Flash Imprint Lithography (S-FIL) is a high resolution, next-generation lithography technique that uses an ambient temperature and low pressure process to replicate high resolution images in a UV-curable liquid material. Application of the S-FIL process in conjunction with multi-level imprint templates and new imprint materials enables one S-FIL step to reproduce the same structures that require two photolithography steps, thereby greatly reducing the number of patterning steps required for the copper, dual damascene process used to fabricate interconnect wirings in modern integrated circuits. Two approaches were explored for the implementation of S-FIL in the dual damascene process: sacrificial imprint materials and imprintable dielectric materials. Sacrificial imprint materials function as a pattern recording medium during S-FIL and a three-dimensional etch mask during the dielectric substrate etch, enabling the simultaneous patterning of both the via and metal structures in the dielectric substrate. Development of sacrificial imprint materials and the associated imprint and etch processes are described. Application of S-FIL and the sacrificial imprint material in a commercial copper dual damascene process successfully produced functional copper interconnect structures, demonstrating the feasibility of integrating multi-level S-FIL in the copper dual damascene process. Imprintable dielectric materials are designed to combine the multi-level patterning capability of S-FIL with novel dielectric precursor materials, enabling the simultaneous deposition and patterning of the interlayer dielectric material. Several candidate imprintable dielectric materials were evaluated: sol-gel, polyhedral oligomeric silsesquioxane (POSS) epoxide, POSS acrylate, POSS azide, and POSS thiol. POSS thiol shows the most promise as functional imprintable dielectric material, although additional work in the POSS thiol formulation and viscous dispense process are needed to produce functional interconnect structures. Integration of S-FIL with imprintable dielectric materials would enable further streamlining of the dual damascene fabrication process. The fabrication of electronic devices on flexible substrates represents an opportunity for the development of macroelectronics such as flexible displays and large area devices. Traditional optical lithography encounters alignment and overlay limitations when applied on flexible substrates. A thermally activated, dual-tone photoresist system and its associated etch process were developed to enable the simultaneous patterning of two device layers on a flexible substrate. / text
186

VLSI physical design automation for double patterning and emerging lithography

Yuan, Kun, 1983- 07 February 2011 (has links)
Due to aggressive scaling in semiconductor industry, the traditional optical lithography system is facing great challenges printing 32nm and below circuit layouts. Various promising nanolithography techniques have been developed as alternative solutions for patterning sub-32nm feature size. This dissertation studies physical design related optimization problem for these emerging methodologies, mainly focusing on double patterning and electronic beam lithography. Double Patterning Lithography (DPL) decomposes a single layout into two masks, and patterns the chip in two exposure steps. As a benefit, the pitch size is doubled, which enhances the resolution. However, the decomposition process is not a trivial task. Conflict and stitch are its two main manufacturing challenges. First of all, a post-routing layout decomposer has been developed to perform simultaneous conflict and stitch minimization, making use of the integer linear programming and efficient graph reduction techniques. Compared to the previous work which optimizes conflict and stitch separately, the proposed method produces significantly better result. Redundant via insertion, another key yield improvement technique, may increase the complexity in DPL-compliance. It could easily introduce unmanufacturable conflict, while not carefully planned and inserted. Two algo- rithms have been developed to take care of this redundant via DPL-compliance problem in the design side. While design itself is not DPL-friendly, post-routing decomposition may not achieve satisfactory solution quality. An efficient framework of WISDOM has been further proposed to perform wire spreading for better conflict and stitch elimination. The solution quality has been improved in great extent, with a little extra layout perturbations. As another promising solution for sub-22nm, Electronic Beam Lithography (EBL) is a maskless technology which shoots desired patterns directly into a silicon wafer, with charged particle beam. EBL overcomes the diffraction limit of light in current optical lithography system, however, the low throughput becomes its key technical hurdle. The last work of my dissertation formulates and investigates a bin-packing problem for reducing the processing time of EBL. / text
187

Photoresist modeling for 365 nm and 257 nm laser photomask lithography and multi-analyte biosensors indexed through shape recognition

Rathsack, Benjamen Michael 04 April 2011 (has links)
Not available / text
188

Soft UV nanoimprint lithography : a versatile technique for the fabrication of plasmonic biosensors

Chen, Jing 21 April 2011 (has links) (PDF)
During the last decade, surface plasmon resonance (SPR) has become widely used to characterize a biological surface and to characterize binding events in the fields of chemistry and biochemistry. Research in this field has been favoured by the tremendous growth in nanofabrication methods among which soft lithographies are alternatively emerging. The purpose of this thesis work was to develop soft UV nanoimprint lithography, an emerging flexible technology allowing patterning on large area of subwavelength photonic nanostructures. The main advantages offered by soft UV nanoimprint lithography concern the simple patterning procedure and the low cost of the experimental setup (see state-of-art presented in chapter 1). Chapters 2 and 3 present the fabrication of master stamps, the study of nanoimprinting parameters coupled with the optimization of the etching process in order to get metallic nanostructures with limited pattern defects. The physical mechanisms of the transmission phenomenon exalted by surface plasmons were studied based on arrays of imprinted gold nanoholes (chapter 4). Extraordinary light transmission has been experimentally demonstrated. The geometrical effects on the position transmission peak were systematically analyzed. Proof-of-concept measurements performed in simple fluidic device indicate a response to small changes in refractive index in the surface vicinity. Finally, chapter 5 proposes a novel design for the optical sensor which is based on "nanocavities" exhibiting coupled localized plasmons. This LSPR sensor offers an improvement of one order of magnitude of the Figure of Merit compared to classical LSPR sensors. The resonance properties of these innovative nanocavities have been studied from numerical simulations and discussed based on their geometrical dependence. Since this system has demonstrated higher sensitivity for detection of biomolecules, it is thus fully adapted to study immunochemical binding interactions.
189

Development and characterization of advanced electron beam resists

Agrawal, Ankur 05 1900 (has links)
No description available.
190

Development of photonic crystal display devices

Krabbe, Joshua Dirk Unknown Date
No description available.

Page generated in 0.0362 seconds