• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 333
  • 53
  • 44
  • 40
  • 17
  • 17
  • 12
  • 11
  • 4
  • 3
  • 2
  • 2
  • 1
  • 1
  • 1
  • Tagged with
  • 670
  • 161
  • 116
  • 88
  • 86
  • 63
  • 56
  • 55
  • 50
  • 50
  • 45
  • 41
  • 41
  • 41
  • 39
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
351

KL-cut based remapping / Remapeamento baseado em cortes KL

Machado, Lucas January 2013 (has links)
Este trabalho introduz o conceito de cortes k e cortes kl sobre um circuito mapeado, em uma representação netlist. Esta nova abordagem é derivada do conceito de cortes k e cortes kl sobre AIGs (and inverter graphs), respeitando as diferenças entre essas duas formas de representar um circuito. As principais diferenças são: (1) o número de entradas em um nodo do grafo, e (2) a presença de inversores e buffers de forma explícita no circuito mapeado. Um algoritmo para enumerar cortes k e cortes kl é proposto e implementado. A principal motivação de usar cortes kl sobre circuitos mapeados é para realizar otimizações locais na síntese lógica de circuitos digitais. A principal contribuição deste trabalho é uma abordagem nova de remapeamento iterativo, utilizando cortes kl, reduzindo a área do circuito e respeitando as restrições de temporização do circuito. O uso de portas lógicas complexas pode potencialmente reduzir a área total de um circuito, mas elas precisam ser escolhidas corretamente de forma a manter as restrições de temporização do circuito. Ferramentas comerciais de síntese lógica trabalham melhor com portas lógicas simples e não são capazes de explorar eventuais vantagens em utilizar portas lógicas complexas. A abordagem proposta de remapeamento iterativo utilizando cortes kl é capaz de explorar uma quantidade maior de portas lógicas com funções lógicas diferentes, reduzindo a área do circuito, e mantendo as restrições de temporização intactas ao fazer uma checagem STA (análise temporal estática). Resultados experimentais mostram uma redução de até 38% de área na parte combinacional de circuitos para um subconjunto de benchmarks IWLS 2005, quando comparados aos resultados de ferramentas comerciais de síntese lógica. Outra contribuição deste trabalho é um novo modelo de rendimento (yield) para fabricação de circuitos integrados (IC) digitais, considerando problemas de resolução da etapa de litografia como uma fonte de diminuição do yield. O uso de leiautes regulares pode melhorar bastante a resolução da etapa de litografia, mas existe um aumento de área significativo ao se introduzir a regularidade. Esta é a primeira abordagem que considera o compromisso (trade off) de portas lógicas com diferentes níveis de regularidade e diferentes áreas durante a síntese lógica, de forma a melhorar o yield do projeto. A ferramenta desenvolvida de remapeamento tecnológico utilizando cortes kl foi modificada de forma a utilizar esse modelo de yield como função custo, de forma a aumentar o número de boas amostras (dies) por lâmina de silício (wafer), com resultados promissores. / This work introduces the concept of k-cuts and kl-cuts on top of a mapped circuit in a netlist representation. Such new approach is derived from the concept of k-cuts and klcuts on top of AIGs (and inverter graphs), respecting the differences between these two circuit representations. The main differences are: (1) the number of allowed inputs for a logic node, and (2) the presence of explicit inverters and buffers in the netlist. Algorithms for enumerating k-cuts and kl-cuts on top of a mapped circuit are proposed and implemented. The main motivation to use kl-cuts on top mapped circuits is to perform local optimization in digital circuit logic synthesis. The main contribution of this work is a novel iterative remapping approach using klcuts, reducing area while keeping the timing constraints attained. The use of complex gates can potentially reduce the circuit area, but they have to be chosen wisely to preserve timing constraints. Logic synthesis commercial design tools work better with simple cells and are not capable of taking full advantage of complex cells. The proposed iterative remapping approach can exploit a larger amount of logic gates, reducing circuit area, and respecting global timing constraints by performing an STA (static timing analysis) check. Experimental results show that this approach is able to reduce up to 38% in area of the combinational portion of circuits for a subset of IWLS 2005 benchmarks, when compared to results obtained from logic synthesis commercial tools. Another contribution of this work is a novel yield model for digital integrated circuits (IC) manufacturing, considering lithography printability problems as a source of yield loss. The use of regular layouts can improve the lithography, but it results in a significant area overhead by introducing regularity. This is the first approach that considers the tradeoff of cells with different level of regularity and different area overhead during the logic synthesis, in order to improve overall design yield. The technology remapping tool based on kl-cuts developed was modified in order to use such yield model as cost function, improving the number of good dies per wafer, with promising interesting results.
352

Síntese, fotoluminescência e caracterização elétrica de nanoestruturas de ZnO

Cauduro, André Luís Fernandes January 2012 (has links)
Nanofios semicondutores de óxido metálico apresentam enorme potencial em aplicações de nano-sensoriamento de diferentes gases e substâncias químicas e biológicas, bem como na aplicação a detectores UV-visível. Neste trabalho, desenvolvemos e aperfeiçoamos a síntese de nanofios de ZnO em substratos de safira (001), silício (111) e silício (100) sob diferentes concentrações de oxigênio usando o processo de transporte de vapor-liquido-sólido (VLS). No presente trabalho, investigamos a influência da concentração de oxigênio no crescimento de nanofios de ZnO por Espectroscopia de Fotoluminescência a temperatura variável com a finalidade de estudo da mudança na concentração de defeitos. Apresentamos, ainda, caracterizações elétricas (IxV e Ixt) de nanoestruturas de ZnO sob diferentes pressões com o objetivo de estudar os defeitos envolvidos nos processos de transportes eletrônicos. Por último, propomos o desenvolvimento de micro-contatos através da técnica de microfeixe iônico e através de nanolitografia por feixe de elétrons com a finalidade de aplicações a sensores químicos, gasosos e fotodetectores. / Metal oxide nanowires semiconductors have enormous potential in high-sensitive, fast and selective sensing applications. It may be used to selectively detect different gases, chemical and biological substances and also in UV-visible photodetectors. The described processes involve the synthesis as well as the characterization of ZnO nanowires grown on sapphire (001), silicon (100) e silicon (111) substrates by the Vapor-liquid-solid transport method. In the present work, we describe the influence of oxygen concentration introduced in the growth step measured by photoluminescence at variable temperature to demonstrate the change in defect levels emission (DLE). Furthermore, we have shown electrical characterization (IxV and Ixt) in order to study the ambient effect for transport mechanisms in ZnO nanowires. We also report the development of crucial steps in the fabrication for an upcoming ZnO nanowire sensor device (gas, chemical and photodetector) using lithography techniques such as ion micro-beam and electron beam with the purpose of fabricating metallic micro-pads.
353

A Chip for Hydrodynamic Microvortical Rotation of Live Single Cells

January 2012 (has links)
abstract: Single cell analysis has become increasingly important in understanding disease onset, progression, treatment and prognosis, especially when applied to cancer where cellular responses are highly heterogeneous. Through the advent of single cell computerized tomography (Cell-CT), researchers and clinicians now have the ability to obtain high resolution three-dimensional (3D) reconstructions of single cells. Yet to date, no live-cell compatible version of the technology exists. In this thesis, a microfluidic chip with the ability to rotate live single cells in hydrodynamic microvortices about an axis parallel to the optical focal plane has been demonstrated. The chip utilizes a novel 3D microchamber design arranged beneath a main channel creating flow detachment into the chamber, producing recirculating flow conditions. Single cells are flowed through the main channel, held in the center of the microvortex by an optical trap, and rotated by the forces induced by the recirculating fluid flow. Computational fluid dynamics (CFD) was employed to optimize the geometry of the microchamber. Two methods for the fabrication of the 3D microchamber were devised: anisotropic etching of silicon and backside diffuser photolithography (BDPL). First, the optimization of the silicon etching conditions was demonstrated through design of experiment (DOE). In addition, a non-conventional method of soft-lithography was demonstrated which incorporates the use of two positive molds, one of the main channel and the other of the microchambers, compressed together during replication to produce a single ultra-thin (<200 µm) negative used for device assembly. Second, methods for using thick negative photoresists such as SU-8 with BDPL have been developed which include a new simple and effective method for promoting the adhesion of SU-8 to glass. An assembly method that bonds two individual ultra-thin (<100 µm) replications of the channel and the microfeatures has also been demonstrated. Finally, a pressure driven pumping system with nanoliter per minute flow rate regulation, sub-second response times, and < 3% flow variability has been designed and characterized. The fabrication and assembly of this device is inexpensive and utilizes simple variants of conventional microfluidic fabrication techniques, making it easily accessible to the single cell analysis community. / Dissertation/Thesis / M.S. Bioengineering 2012
354

Síntese, fotoluminescência e caracterização elétrica de nanoestruturas de ZnO

Cauduro, André Luís Fernandes January 2012 (has links)
Nanofios semicondutores de óxido metálico apresentam enorme potencial em aplicações de nano-sensoriamento de diferentes gases e substâncias químicas e biológicas, bem como na aplicação a detectores UV-visível. Neste trabalho, desenvolvemos e aperfeiçoamos a síntese de nanofios de ZnO em substratos de safira (001), silício (111) e silício (100) sob diferentes concentrações de oxigênio usando o processo de transporte de vapor-liquido-sólido (VLS). No presente trabalho, investigamos a influência da concentração de oxigênio no crescimento de nanofios de ZnO por Espectroscopia de Fotoluminescência a temperatura variável com a finalidade de estudo da mudança na concentração de defeitos. Apresentamos, ainda, caracterizações elétricas (IxV e Ixt) de nanoestruturas de ZnO sob diferentes pressões com o objetivo de estudar os defeitos envolvidos nos processos de transportes eletrônicos. Por último, propomos o desenvolvimento de micro-contatos através da técnica de microfeixe iônico e através de nanolitografia por feixe de elétrons com a finalidade de aplicações a sensores químicos, gasosos e fotodetectores. / Metal oxide nanowires semiconductors have enormous potential in high-sensitive, fast and selective sensing applications. It may be used to selectively detect different gases, chemical and biological substances and also in UV-visible photodetectors. The described processes involve the synthesis as well as the characterization of ZnO nanowires grown on sapphire (001), silicon (100) e silicon (111) substrates by the Vapor-liquid-solid transport method. In the present work, we describe the influence of oxygen concentration introduced in the growth step measured by photoluminescence at variable temperature to demonstrate the change in defect levels emission (DLE). Furthermore, we have shown electrical characterization (IxV and Ixt) in order to study the ambient effect for transport mechanisms in ZnO nanowires. We also report the development of crucial steps in the fabrication for an upcoming ZnO nanowire sensor device (gas, chemical and photodetector) using lithography techniques such as ion micro-beam and electron beam with the purpose of fabricating metallic micro-pads.
355

Síntese e caracterização de nanofios de ZnO para aplicações em emissão de campo

Oliveira, Joao Wagner Lopes de January 2010 (has links)
Neste trabalho, descrevemos o crescimento controlado e alinhado de nanofios de óxido de zinco (ZnO), bem como a análise das propriedades de emissão de campo (Field Emission) destes nanomateriais. Diferentes estratégias de síntese e posicionamento dos nanofios foram utilizadas para a otimização da emissão de elétrons por campo. Utilizamos diferentes técnicas de litografia no processo de crescimento de nanofios em regiões pré-definidas. Como resultado, são apresentadas diferentes condições para o crescimento de nanofios de ZnO. As caracterizações estruturais comprovam a qualidade cristalina dos fios. As emissões de elétrons por campo foram caracterizadas e seguem, em média, as previsões da teoria de Fowler-Nordheim. A amostra com melhor desempenho apresenta emissão de 50 A em um campo aplicado de ~2.6 V/μm. Os fios iniciam a emissão em 1.6 V/μm, considerando uma corrente inicial de 10-6 A. Tal investigação visa contribuir para o uso destes materiais nas tecnologias de mostradores planos (Field Emission Display - FED), de alta resolução. / In this work, we report on the controlled growth of vertically aligned zinc oxide (ZnO) nanowires, as well as their field emission properties. Different syntheses and positioning strategies concerning nanowires growth were proposed with the purpose of optimizing its electron field emission. Different lithography techniques were used in order to grow the wires on specific locations on the substrate. As result we present several conditions for the ZnO nanowires growth. The structural characterizations show the high crystal quality obtained. The field emission behavior of the wires was investigated showing that it follows the Fowler-Nordheim theory predictions. The best sample showed an emission of 50 A at ~2.6 V/μm of applied electric field. The emission threshold field was 1.6 V/μm for a current of 10-6 A. This research aims to contribute for the use of these materials in the high resolution flat panel displays technology (Field Emission Display - FED).
356

KL-cut based remapping / Remapeamento baseado em cortes KL

Machado, Lucas January 2013 (has links)
Este trabalho introduz o conceito de cortes k e cortes kl sobre um circuito mapeado, em uma representação netlist. Esta nova abordagem é derivada do conceito de cortes k e cortes kl sobre AIGs (and inverter graphs), respeitando as diferenças entre essas duas formas de representar um circuito. As principais diferenças são: (1) o número de entradas em um nodo do grafo, e (2) a presença de inversores e buffers de forma explícita no circuito mapeado. Um algoritmo para enumerar cortes k e cortes kl é proposto e implementado. A principal motivação de usar cortes kl sobre circuitos mapeados é para realizar otimizações locais na síntese lógica de circuitos digitais. A principal contribuição deste trabalho é uma abordagem nova de remapeamento iterativo, utilizando cortes kl, reduzindo a área do circuito e respeitando as restrições de temporização do circuito. O uso de portas lógicas complexas pode potencialmente reduzir a área total de um circuito, mas elas precisam ser escolhidas corretamente de forma a manter as restrições de temporização do circuito. Ferramentas comerciais de síntese lógica trabalham melhor com portas lógicas simples e não são capazes de explorar eventuais vantagens em utilizar portas lógicas complexas. A abordagem proposta de remapeamento iterativo utilizando cortes kl é capaz de explorar uma quantidade maior de portas lógicas com funções lógicas diferentes, reduzindo a área do circuito, e mantendo as restrições de temporização intactas ao fazer uma checagem STA (análise temporal estática). Resultados experimentais mostram uma redução de até 38% de área na parte combinacional de circuitos para um subconjunto de benchmarks IWLS 2005, quando comparados aos resultados de ferramentas comerciais de síntese lógica. Outra contribuição deste trabalho é um novo modelo de rendimento (yield) para fabricação de circuitos integrados (IC) digitais, considerando problemas de resolução da etapa de litografia como uma fonte de diminuição do yield. O uso de leiautes regulares pode melhorar bastante a resolução da etapa de litografia, mas existe um aumento de área significativo ao se introduzir a regularidade. Esta é a primeira abordagem que considera o compromisso (trade off) de portas lógicas com diferentes níveis de regularidade e diferentes áreas durante a síntese lógica, de forma a melhorar o yield do projeto. A ferramenta desenvolvida de remapeamento tecnológico utilizando cortes kl foi modificada de forma a utilizar esse modelo de yield como função custo, de forma a aumentar o número de boas amostras (dies) por lâmina de silício (wafer), com resultados promissores. / This work introduces the concept of k-cuts and kl-cuts on top of a mapped circuit in a netlist representation. Such new approach is derived from the concept of k-cuts and klcuts on top of AIGs (and inverter graphs), respecting the differences between these two circuit representations. The main differences are: (1) the number of allowed inputs for a logic node, and (2) the presence of explicit inverters and buffers in the netlist. Algorithms for enumerating k-cuts and kl-cuts on top of a mapped circuit are proposed and implemented. The main motivation to use kl-cuts on top mapped circuits is to perform local optimization in digital circuit logic synthesis. The main contribution of this work is a novel iterative remapping approach using klcuts, reducing area while keeping the timing constraints attained. The use of complex gates can potentially reduce the circuit area, but they have to be chosen wisely to preserve timing constraints. Logic synthesis commercial design tools work better with simple cells and are not capable of taking full advantage of complex cells. The proposed iterative remapping approach can exploit a larger amount of logic gates, reducing circuit area, and respecting global timing constraints by performing an STA (static timing analysis) check. Experimental results show that this approach is able to reduce up to 38% in area of the combinational portion of circuits for a subset of IWLS 2005 benchmarks, when compared to results obtained from logic synthesis commercial tools. Another contribution of this work is a novel yield model for digital integrated circuits (IC) manufacturing, considering lithography printability problems as a source of yield loss. The use of regular layouts can improve the lithography, but it results in a significant area overhead by introducing regularity. This is the first approach that considers the tradeoff of cells with different level of regularity and different area overhead during the logic synthesis, in order to improve overall design yield. The technology remapping tool based on kl-cuts developed was modified in order to use such yield model as cost function, improving the number of good dies per wafer, with promising interesting results.
357

Projeto, fabricação e teste de uma microbomba sem valvulas / Design, fabrication and test of a valveless micropum

Costa, Juliano Nunes 17 February 2006 (has links)
Orientador: Euripedes Guilherme de Oliveira Nobrega / Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Mecanica / Made available in DSpace on 2018-08-06T12:28:24Z (GMT). No. of bitstreams: 1 Costa_JulianoNunes_M.pdf: 1943364 bytes, checksum: 37bfdc87a8b3b47e435c8aadfe91806a (MD5) Previous issue date: 2006 / Resumo: Hoje em dia, os microssistemas eletromecânicos (MEMS) constituem uma das áreas mais promissoras e de rápido crescimento entre as novas tecnologias. Uma área de destaque na utilização de MEMS é a microfluídica, onde diversos tipos de equipamentos miniaturizados são necessários. As microbombas têm um papel fundamental neste tipo de microdispositivos, devido a sua função de prover quantidades muito pequenas de fluidos de maneira segura e uniforme. O presente trabalho apresenta o processo de desenvolvimento de uma microbomba de diafragma oscilante sem válvulas e com atuação pneumática. Para se construir a microbomba sem válvulas, primeiramente foi feito um estudo sobre os elementos bocaljdifusor, que representam na microbomba o papel das válvulas. Com o objetivo de se analisar o comportamento da microbomba, foi feita uma simulação numérica utilizando-se a analogia por circuitos elétricos equivalentes, reconhecidamente um método simples e eficiente' de simulação de sistemas multidomínios, onde a grande maioria dos microdispositivos podem ser classificados. Por fim, foram projetados e montados protótipos da microbomba utilizahdo-se a tecnologia de microfabricação Litografia Profunda em polímeros flexográficos, onde se faz o uso de radiação ultravioleta. Tal opção se deve a que esta é uma tecnologia de baixo custo e de fácil utilização. Foi feito em seguida o levantamento de desempenho da microbomba, onde vários testes foram realizados para se conhecer a relação de pressão versus vazão / Abstract: Nowadays, Micro-Electromechanical systems (MEMS) constitute one of the most promising and fast expanding fields among the new technologies. Microfiuidic systems are a noteworthy sub-area of MEMS, demanding several types of microdevices to be developed. Micropumps have a fundamental role in thee systems, due to the need of supplying minimal amounts of fiuid in a guaranteed and uniform way. This work presents the process of development of. prototypes of aval veless micropump based upon reciprocating diaphragm and pneumatic actuation. To construct the valveless micropump, firstly it was made a study on the nozzlej diffuser elements, which represent in these micropumps the valve function. Aiming to analyse the behavior of the micropump, a numeric simulation was studied using electrical equivalent networks, known as a simple and eflicient method of simulation of multidomain systems, a classification most MEMS follow. Finally, it was designed and constructed prototypes of the micropumps using the Deep Lithography in fiexographics polymers micro-manufacture technology. This option is due to the low cost characteristic of this technology and also because it is very easy to learn how to produce the prototypes. ln the sequence, the nerformance of the micropump was studied through several experimental tests in order to know its pressure and fiow behavior / Mestrado / Mecanica dos Sólidos e Projeto Mecanico / Mestre em Engenharia Mecânica
358

Cristais fotônicos 2 D : projeto e fabricação / 2D photonic crystals : design and fabrication

Quiñonez, Fabiola Azanha 23 February 2006 (has links)
Orientador: Lucila Helena Deliesposte Cescato / Dissertação (mestrado) - Universidade Estadual de Campinas, Instituto de Fisica Gleb Wataghin / Made available in DSpace on 2018-08-07T11:04:51Z (GMT). No. of bitstreams: 1 Quinonez_FabiolaAzanha_M.pdf: 3774661 bytes, checksum: 43303e872404bf21c83ed4ea86d8befe (MD5) Previous issue date: 2006 / Resumo: Nesta tese foi utilizado um programa baseado em elementos finitos para projetar cristais fotônicos bidimensionais, assim como foram desenvolvidos processos de litografia holográfica para gravação destas estruturas fotônicas em filmes de carbono amorfo hidrogenado, depositados sobre substratos de vidro. O projeto dos parâmetros geométricos das estruturas que apresentam um gap fotônico, numa dada região de interesse do espectro óptico, foi feito através do cálculo dos diagramas de bandas das estruturas, levando-se em consideração as dimensões e formas que possam ser fabricadas utilizando a técnica de litografia holográfica. Para gravação dos cristais fotônicos bidimensionais, com simetrias cúbica e hexagonal, foi utilizada a técnica de superposições sucessivas de padrões, gerados pela interferência de duas ondas planas (exposições holográficas), associadas à litografia do filme de carbono por plasma reativo (RIE ¿ Reactive Ion Etching) / Abstract: In this thesis, we employed a software based on finite element method to design two-dimensional photonic crystals, as well as we developed a holographic lithography process to record these photonic structures in amorphous carbon films, coated on glass substrates. In order to present a photonic band gap in a desired region of the optical spectrum, the geometrical parameters of the structures were defined by analyzing the calculated band diagram of the structures. Such definition takes into account the dimensions and forms of the structures that can be fabricated using techniques of holographic lithography. To record the two-dimensional photonic crystals, with cubic and hexagonal symmetries, we used the technique of successive superimposition of fringe patterns. The patterns were generated by the interference of two plane waves (holographic exposures), associated to the lithography of the carbon film by reactive ion etching / Mestrado / Propriedades òticas e Espectroscopia da Matéria Condensada ; Outras Inter. da Mat. Com Rad. e Part / Mestre em Física
359

Projeto e fabricação de nao-estruturas por litografa interferometrica / Design and fabrication of nano-structures by interforometric lithography

Carvalho, Edson Jose de 30 May 2008 (has links)
Orientadores: Edmundo da Silva Braga, Lucila Helena D. Cescato / Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica e de Computação / Made available in DSpace on 2018-08-11T12:33:55Z (GMT). No. of bitstreams: 1 Carvalho_EdsonJosede_D.pdf: 5686598 bytes, checksum: e418bbf5ce5f022ecbe9cf631af20b3d (MD5) Previous issue date: 2008 / Resumo: Neste trabalho foi desenvolvida a técnica de Litografia Interferométrica para a gravação de nano-estruturas periódicas em relevo, uni e bidimensionais, sobre substratos de vidro e de silício. Em particular, o trabalho se concentrou em duas frentes: no estudo no perfil das estruturas gravadas em fotorresina, através da superposição de padrões interferométricos, e na integração desta técnica com as demais tecnologias usuais de processamento do Si para microeletrônica. A partir dos padrões luminosos, gerados pela superposição de franjas de interferência, o perfil em relevo das estruturas gravadas em fotorresina foi simulado para estudar a influência de alguns dos parâmetros do processo de exposição e revelação. Para a associação desta técnica de litografia interferométrica com as demais tecnologias de processamento de Si foi necessário desenvolver um processo para gravação sobre substratos de Si. Para isto foi preciso reduzir o efeito das ondas estacionárias na litografia. A solução encontrada foi crescer termicamente uma camada de SiO2, com espessura apropriada sobre o substrato de Si, antes da aplicação da fotorresina. Para demonstrar o potencial dos processos desenvolvidos para fabricação de componentes e dispositivos baseados em nano-estruturas, foram realizadas duas aplicações: gravação de arranjos de nano-ponteiras de Si e gravação de matrizes em relevo para moldagem de elementos difrativos de alta freqüência espacial. Utilizando a técnica de litografia interferométrica associada à corrosão por plasma RIE foram fabricados arranjos de alta densidade de nano-ponteiras de Si, com cerca de 106 pontas/mm2 e raio de curvatura da ordem de 20nm. O desempenho elétrico do arranjo de ponteiras fabricadas, como dispositivo de emissão de elétrons por efeito de campo, foi caracterizado através das medidas da curva I x V e da estabilidade temporal da corrente de elétrons emitidos. Por outro lado, foram fabricadas matrizes em relevo de elementos ópticos difrativos com propriedades de polarização. Estas estruturas foram utilizadas num processo de replicação que envolveu também etapas de moldagem por eletroformação de níquel e geração de réplicas por injeção de plástico. A caracterização óptica, tanto das matrizes quanto réplicas, foi realizada através da medida do espectro de difração para os estados ortogonais de polarização / Abstract: In this work the interferometric lithography technique was developed for recording periodic relief nano-structures, one and bi-dimensional, on silicon and glass substrates. In particular, the work is focused in two directions: the study of the profile of the structures recorded in photorresist, through the superimposition of interference light patterns, and the association of this technique with the usually microelectronics techniques for the silicon processing. Starting from the light patterns, generated by the superimposition of interference fringes, the photoresist relief profile was simulated in order to study the influence of some exposure and development parameters on it. For combining the interferometric lithography with the silicon technologies it was necessary to record the nano-structures on Si substrates. For this, it was necessary to deduct the Standing Wave effect in the lithography. The solution was to grow thermally a layer of SiO2, with a proper thickness, on the silicon substrate, before the application of the photorresist. To demonstrate the applicability of the developed processes for fabrication of components and devices based on nano-structures, two applications have been realized: the recording of arrays of silicon nano-tips and the recording of a master relief structure for molding a diffractive optical element of high spatial frequency. Using the interferometric lithography technique associated with the silicon corrosion for plasma RIE, high-density arrays of silicon nano-tips were fabricated, with about 106 tips/mm2 and ray of curvature of about 20nm. The electric performance of the nano-tips array as a Field Emission Device was characterized through the I x V curves measurement as well as the temporal stability of the emitted electron current. By the other side, a master of a Diffractive Optical Elements (DOE), with polarizing properties, was realized. This master structure was used in a replication process involving the nickel electroformed shim and the generation of the replicas by plastic injection molding. The optical characterization of both master and replicas were performed through the measurement of the diffraction spectrum for the two orthogonal polarization states / Doutorado / Eletrônica, Microeletrônica e Optoeletrônica / Doutor em Engenharia Elétrica
360

Impressões sobre um território frágil / Impressions about a fragile territory

Gouvea, Terezinha Augusta 21 August 2018 (has links)
Orientador: Anna Paula Silva Gouveia / Dissertação (mestrado) - Universidade Estadual de Campinas, Instituto de Artes / Made available in DSpace on 2018-08-21T16:12:46Z (GMT). No. of bitstreams: 1 Gouvea_TerezinhaAugusta_M.pdf: 4765112 bytes, checksum: dbcf9ddf6f73acc99fffbc2c533e94f9 (MD5) Previous issue date: 2007 / Resumo: A pesquisa apresenta os percursos de um fazer artístico e explora um saber de qualidade subjetiva e as reflexões a partir desta prática. A produção artística é composta por litografias e frottages corporais; são impressões pessoais sobre um território frágil: o corpo, o território humano. O corpo e a pele são referências reais, na litografia: meu corpo entintado pressionado sobre a pedra litográfica imprime as marcas físicas e a textura da pele, e nas frottages corporais, o corpo é decalcado na superfície plana do papel. São experiências intransferíveis para construir as imagens, com a presença física do referente, em que a escala real do corpo é preservada nos dois procedimentos. A prática artística referenciou as reflexões e as relações com determinados artistas, que ocorrem pela afinidade dos procedimentos em adotar a impressão de uma presença real e com a fotografia, por trabalhar com esta incidência em seu momento constitutivo. A pele é o limite do meu território com o outro e com o mundo, abriga e delineia a individualidade. O tato é um sentido de proximidade, pois, é da necessidade de aproximação com o outro que afirmamos nossa própria existência, porque o tato nos permite perceber que a vida tem profundidade e contorno, torna tridimensional nosso sentido do mundo e de nós mesmos. O contorno deste território frágil, a pele, é nossa fronteira, que nos protege e nos expõe / Abstract: The research presents the ways of artistic making and explores a subjective kind of knowing and the corresponding reflections arising from this practice. The artistic production is composed of lithographies and body frottages, which are personal impressions about a fragile territory: the body, the human territory. In lithography, the body and the skin are real references, that is, my inked body, pressed against a lithographic stone, imprints the physical marks and texture of the skin, whereas in body frottages, the body is traced onto a flat paper surface. These experiences are unique, allowing the creation of body images, with the physical presence of the referent, so as to preserve the real scale of the body in both procedures. The artistic practice has provided a reference for the reflections and the relations with certain artists, which occur through the affinity of the procedures in adopting the impression of a real presence and with photography, as it works with this incidence at the moment of the creation. The skin is the edge of my territory with the next person and with the world, sheltering and delineating one¿s individuality. The sense of touch is about proximity because we affirm our own existence out of the need to become closer to one another, that is, the sense of touch allows us to understand that life has depth and boundaries, making our sense of the world and our sense of ourselves tridimensional. The boundary of this fragile territory, the skin, is our frontier, which protects us and exposes us / Mestrado / Artes / Mestra em Artes

Page generated in 0.0829 seconds