• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 9
  • 3
  • 1
  • Tagged with
  • 13
  • 13
  • 7
  • 6
  • 5
  • 5
  • 4
  • 4
  • 4
  • 3
  • 3
  • 3
  • 3
  • 3
  • 3
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Antimony Chalcogenide: Promising Material for Photovoltaics

Rijal, Suman 15 September 2022 (has links)
No description available.
2

Using mass spectrometry to rapidly detect triglycerides in plasma and glycosylated hemoglobin in whole blood

Kuo, Shih-chieh 30 August 2011 (has links)
Due to the technology development, the diet habit has completely changed. It accompanied by the metabolite diseases relevant to blood glucose and lipids, which are dependent with the atherosclerosis and cardiovascular disease. In this study, we using matrix assisted laser desorption ionization time of flight mass spectrometry (MALDI-TOF/MS) to characterize triglycerides in human plasma. In the other, the glycosylated hemoglobin in human whole blood was detected by liquid electrospray laser desorption ionization (Liquid ELDI/MS). Triglycerides are energy source (9 kcal/g) in human body, derived from glycerol and three fatty acids. It is a main constituent of vegetable oil and animal fats. In clinical diagnosis, human plasma was mixed with triglyceride Kit to react to the final 520 nm UV-absorbing substance, then the concentration was quantified consistent with the calibration line by UV/Visible spectrometry. By the way, it needed Kit chemicals for one trial. MALDI-TOF/MS is a simple and easy method to operate to detect complex compounds in human plasma, only need to optimize the parameters (solvent collection, sample dilution, matrix selection, sample pretreatment ) to form a homogeneous crystals. The developed ¡§seed layer¡¨ method can reduce the sweet spot effect and cause a lower with-in spot variation (RSD < 20%) compared to ¡§premix¡¨ method (RSD >30%). Combined with statistic software 2D peak distribution, a semi-quantification can be observe of 24 different triglyceride concentration human plasmas. The level of glycosylated hemoglobin (HbA1c) in whole blood is currently the most important measurement of long-term control of the glycemic state of diabetes. As a result of the interferences of high concentrations of metabolites, proteins and salts in whole blood, tedious sample cleanup procedures must be performed prior to subjecting the sample solutions to conventional LC/MS and MALDI analyses for the detection of HbA1c. Electrospray laser desorption ionization mass spectrometry (ELDI/MS), a two-step ambient ionization technique, has been developed to characterize analytes directly from the liquid sample surface. One drop of the diluted hole blood (1/10, v/v in water) was placed on the stainless steel plate. The sample droplet was irradiated with a pulse laser, the desorbed analytes were post-ionized in an electrospray (ESI) plume (ESI solution: 70% methanol in water, 0.1% acetic acid), and the analyte ions were detected by a ion trap mass analyzer. Through this study, the protocol for efficiently characterizing HbA1c present in a drop of diluted whole blood with ELDI/MS was established. We successfully detected the ion signal of HbA1c with ELDI/MS. Quantification of the level of HbA1c in the whole blood of diabetic patients was achieved by calculating the ratio of the ion peak area of the glycosylated and non-glycosylated hemoglobin ions. A linear relationship exists for the quantitative results of HbA1c in whole blood of 20 diabetic patients obtained between ELDI/MS and that through conventional spectroscopic measurement.
3

Study of HfN as seed layer for next generation of BAW RF filters : synthesis, characterization, and investigation of piezoelectric performance

Llorens Balada, Eduard January 2020 (has links)
Micro-electro-mechanical systems (MEMS) have become an essential component of a wide range ofelectronic devices over the last decades such as accelerometers, microphones, gas sensors, and filters.During this new millennium, a new radio frequency (RF) technology has been developed to satisfy thetough demands that arose due to the implementation of 5G wireless communication: bulk acoustic wave(BAW) filters.BAW devices use the piezoelectric effect, converting mechanical vibrations to electrical signals, topower wireless devices. BAW filters can operate between 3.5 GHz and 6 GHz, therefore, within therange of the new 5G. BAW technology offers lower insertion loss, higher heat dissipation, andperformances at higher power and frequency which increases the data speed considerably.This thesis will be focused on the study of the materials used in BAW devices. A common BAW filteris made from different layers distributed in a stack, from the bottom to the upper part, the BAW filteris composed of a substrate, a transducer layer made of a piezoelectric layer in between of two electrodes,and intermediate layers that can enhance the addition of the deposited layers on top called buffer layers,or the crystal quality of the films on top called seed layers.The main characteristic that a buffer layer must possess is an intermediate lattice parameter betweenthat of the substrate and the top layer. When these two layers present a high lattice mismatch, theinterface quality is rather poor. By using a buffer layer, and therefore, by adding two different interfaces,the crystal quality is improved by decreasing the internal stress and the crystal distortion. Buffer layermaterials depend on the type of materials that will be in contact with them.A seed layer is usually used to improve the crystal quality of a layer that requires extreme sputteringparameters to be used to be deposited possessing a high crystal quality and a preferred orientation. Seedlayers used in BAW devices, whose piezoelectric layer is made of AlScN or AlN, are usually made ofhighly c-axis oriented and highly crystalline AlN.The objective of this study is to analyze the deposition of AlN and HfN by means of reactive radiofrequency magnetron sputtering and reactive pulsed-direct current magnetron sputtering, respectively.AlN is largely used as a buffer layer and as a seed layer, however, the new approach of this report is tostudy the sputtering of HfN and compare it as a possible candidate to replace AlN as a seed layer.
4

New Precursors for CVD Copper Metallization

Norman, John A. T., Perez, Melanie, Schulz, Stefan E., Waechtler, Thomas 02 October 2008 (has links) (PDF)
A novel CVD copper process is described using two new copper CVD precursors, KI3 and KI5, for the fabrication of IC or TSV (Through Silicon Via) copper interconnects. The highly conformal CVD copper can provide seed layers for subsequent copper electroplating or can be used to directly fabricate the interconnect in one step. These new precursors are thermally stable yet chemically reactive under CVD conditions, growing copper films of exceptionally high purity at high growth rates. Their thermal stability can allow for elevated evaporation temperatures to generate the high precursor vapor pressures needed for deep penetration into high aspect ratio TSV vias. Using formic acid vapor as a reducing gas with KI5, copper films of > 99.99 atomic % purity were grown at 250&deg;C on titanium nitride at a growth rate of > 1500 &Aring;/min. Using tantalum nitride coated TSV type wafers, ~ 1700 &Aring; of highly conformal copper was grown at 225&deg;C into 32 &mu;m × 5 &mu;m trenches with good adhesion. With ruthenium barriers we were able to grow copper at 125&deg;C at a rate of 20 &Aring;/min to give a continuous ~ 300 &Aring; copper film. In this respect, rapid low temperature CVD copper growth offers an alternative to the long cycle times associated with copper ALD which can contribute to copper agglomeration occurring. &copy; 2008 Elsevier B.V.
5

Investigation of Methods to Improve PZT Sol-Gel Deposition Process for Energy Harvesting Applications / Undersökning av metoder att förbättra sol-gel deponeringsprocess för PZT med inriktning mot energy harvesting

Granberg, Mikael January 2022 (has links)
The purpose of this work was to investigate ways to modify Silex sol-gel deposition of PZT (PbZrxTi(1-x)O3, Lead Zirconate Titanate) in order to improve its properties for energy harvesting applications. A number of methods to improve the figure of merit for energy harvesting (FOM= e312/ε), cause self-polarization, increase lifetime, reduce cost, increase throughput or simplify processing were tested. In order to create a barrier preventing lead diffusion into the substrate, a method to oxidize the bottom electrode’s Ti adhesion layer into TiO2 by RTA (Rapid Thermal Anneal) was tested. Oxidation was successfully achieved and was found to aid in self-polarization, thereby increasing the FOM for films without post-processing polarization. An extended lifetime is expected, but has not yet been confirmed by testing. A seed layer of a different material was tested and compared to a PZT-based seed layer. The new seed layer was found to give highly (100) crystalline PZT with improved self-polarized e31,f and FOM. The new seed layer was also found to be less sensitive to processing variations. Oxygen control during crystallization of the PZT was used in an attempt to generate PZT layers with oxygen vacancies. These hypoxic layers were intended to polarize the film, but were found to reduce the FOM and lead to partial delamination of the film due to stress. A different type of PZT sol-gel was tested as an alternative to the PZT sol-gel in use at Silex. The tested solution was found to result in PZT films with similar properties to those generated by the original type, but the tested type allowed for single layer thicknesses nearly three times thicker than the original type, thereby increasing the throughput and reducing manufacturing costs. / Arbetet undersöker metoder att modifiera Silex sol-gel deponeringsprocess för PZT (PbZrxTi(1-x)O3, blyzirconiumtitanat) i syfte att att förbättra dess egenskaper för energy harvesting. Ett antal metoder testades för att förbättra godhetstalet för energy harvesting ("figure of merit", FOM= e312/ε), åstadkomma självpolarisering, utöka livslängden, minska kostnader, öka produktionskapaciteten eller förenkla tillverkningsprocessen. En metod testades för att oxidera bottenelektrodens fästlager av Ti till TiO2 genom RTA (Rapid Thermal Anneal). Detta för att åstadkomma en barriär som förhindrar diffusion av bly in i substratet. Oxidering uppnåddes och mätningar visade en positiv inverkan på självpolariseringen, vilket ökade godhetstalet för energy harvesting i PZT-skikt utan efterbehandlingspolarisering. En utökad livslängd förväntas, men har ännu inte bekräftats via testning. Ett seedlager av ett annat material testades och jämfördes med ett PZT-baserat seedlager. Det nya seedlagret gav välkristalliserat (100) PZT med förbättrade värden för e31,f och godhetstal för energy harvesting. Det nya seedlagret var även mindre känsligt för processvariationer. Tester med begränsad syretillgång under kristallisering av PZT genomfördes för att generera PZT-lager med syrevakanser. Syftet med dessa hypoxiska PZT-lager var att polarisera materialet, men testerna resulterade i försämrat godhetstal för energy harvesting, samt partiell delaminering av PZT-skiktet orsakad av spänningar i materialet. Som alternativ till den PZT sol-gel som användes på Silex testades en annan typ av PZT sol-gel. Den testade sol-gelen resulterade i PZT-skikt med liknande materialegenskaper som hos den ursprungliga typen, men med möjlighet till nästan tre gånger så tjocka enskilda lager, vilket leder till ökad produktionskapacitet och minskade produktionskostnader.
6

Mise au point de procédés électrolytiques de dépôt de cuivre pour la métallisation de vias traversants (TSVs) / Development of copper electroplating processes for Through Silicon Via (TSV) metallization

Cuzzocrea, Julien 16 October 2012 (has links)
La miniaturisation nécessaire à l'accroissement des performances des composants microélectroniques est en passe d'atteindre ses limites. Ainsi, une nouvelle approche dite « intégration 3D » semble prometteuse pour outrepasser les limitations observées. Cette nouvelle intégration consiste à empiler les différentes puces qui sont reliées entre elles par des vias appelées Through Silicon Vias (TSV). L'une des clés pour la réalisation de circuits en 3 dimensions est la métallisation des TSVs. Cette dernière nécessite les dépôts d'une barrière et d'une couche d'accroche qui sert à initier le remplissage par électrolyse. Ces travaux s'intéressent plus spécifiquement à la réalisation de la couche d'accroche et au remplissage des TSVs.La couche d'accroche est généralement déposée par pulvérisation, ce qui ne permet pas d'obtenir une couverture de marche satisfaisante pour la réalisation du remplissage. Cette étude propose une solution électrolytique appelée SLE (Seed Layer Enhancement) qui permet de restaurer la continuité de la couche d'accroche déposée par PVD. L'application de ce procédé associé à un traitement de désoxydation de la surface permet l'optimisation de la nucléation du cuivre et donc la réalisation d'une couche de cuivre continue et conforme. Le procédé SLE a été intégré à la séquence de métallisation et a démontré sa capacité à initier un remplissage superconforme. De plus, des tests électriques ont confirmé l'efficacité du procédé SLE une fois intégré. Ces expériences ont ouvert la voie à l'étude du dépôt électrolytique de cuivre direct sur la barrière à la diffusion du cuivre, c'est le procédé Direct On Barrier. Les premiers résultats ont permis de démontrer la possibilité de déposer une couche de cuivre conforme sur des barrières résistives. Le second volet de ces travaux s'intéresse au remplissage par électrolyse des TSVs. Dans ce but, deux électrolytes (d'ancienne et de nouvelle génération) ont été considérés. L'effet des additifs sur le dépôt et leurs actions sur le remplissage superconforme ont été étudiés par voltampérométrie et chronopotentiométrie pour chacune des solutions. Ces analyses ont permis de monter deux mécanismes de remplissage différents principalement dû à l'action de l'additif inhibiteur durant l'électrolyse. Contrairement au cas de l'électrolyte d'ancienne génération inspiré des procédés pour le damascène, l'inhibiteur de l'électrolyte de nouvelle génération s'adsorbe fortement et irréversiblement à la surface du cuivre. Il bloque efficacement la croissance sur les flancs et le haut des TSVs, sans toutefois pouvoir contrarier l'action de l'accélérateur en fond de motif. / Nowadays, 2D integration shows serious limitations when it comes to manufacturing devices with increased functionality and performance. In this context, 3D integration approaches using Through Silicon Vias (TSVs) have been investigated as a promising solution to fabricate tomorrow's microelectronics devices. In this architecture, the key challenge is the metallization of high aspect ratios (>5) TSVs by copper electrochemical deposition (Cu ECD). This metallization sequence includes barrier and seed layer deposition followed copper filling. This study is focused on seed layer deposition and TSV filling. Usually, the seed layer is grown by sputtering based deposition techniques (PVD). This technique suffers from limited sidewall coverage, eventually leading to electrical discontinuity in the features. In this work, an electrolytic process called Seed Layer Enhancement (SLE) has been investigated as a solution to improve copper seed continuity. For this purpose, copper nucleation on the resistive barrier material has been optimized using a specific surface treatment to remove native oxide on samples surface. As a result, the SLE process has been successfully inserted in the metallization sequence, as testified by good electrical performances. These promising results open the route to an alternative solution to PVD using an electrochemical process performed directly on the barrier diffusion layer (Direct On Barrier). On the other hand, two electrolytes (an old and a new generation) have been evaluated as solutions for TSV filling. In each case, the impact of additives on copper deposition and superfilling mechanism were analyzed by voltammetric and chronopotentiometric measurements on rotating disk electrode. This study shows two different filling behaviors, close to damascene electrolyte with the older generation electrolyte, and a bottom-up filling with the last generation. The main difference comes from the action of the inhibiting additive during the filling process. In the case of the last generation electrolyte, the inhibitor adsorbs strongly and irreversibly on the copper surface. Then, a strong inhibition of copper growth occurs on the sides and on the top of the TSVs, but the action of accelerator is still efficient at the pattern bottom.
7

Etude de l'intégration de vias traversants réalisés par MOCVD en vue de l'empilement en 3D des composants microélectroniques / Study of through silicon via (TSV) integration realised by MOCVD for 3D stacking of microelectronics components

Djomeni Weleguela, Monica Larissa 15 December 2014 (has links)
Ces dernières années, l’évolution de la taille des circuits intégrés a été dirigée par la loi de Moore conduisant à des noeuds technologiques de 22 nm et en-deçà. Cependant, les problématiques de performances, de taille et de coût des composants rendent cette conjecture difficile à suivre. La tendance de diversification appelée « More than Moore » consiste à intégrer des fonctions analogiques avec des technologies CMOS dans le but d’optimiser les coûts.L'une de ses technologies clés est le TSV, qui maintient le contact entre deux niveaux de composants. Leurs facteurs de forme devenant de plus en plus élevés, les techniques de dépôts standards par iPVD sont proches de leurs limites. De plus, les méthodes de caractérisation usuelles ne sont pas adaptées à ces structures.La première partie de cette thèse sera dédiée au développement des procédés de dépôt de la barrière de diffusion du cuivre par MOCVD à basse température pour s’adapter aux divers schémas d'intégration de type via middle et via last. La deuxième partie sera consacrée à l’élaboration des protocoles avancés de caractérisation des films dans ces structures afin d’étudier leurs comportements en intégration. / For the past years, Moore’s law has pointed mainstream microelectronics, driving integrated circuits down to 22 nm and below. Yet, performance, dimension and cost issues make it difficult to follow the trend. Integrating analog functions into CMOS-based technologies enables cost-optimized systems solutions. These diversified tendencies are known as “More than Moore”. One of the key technologies of this trend is the TSV, which maintains the contact between two components.The increasing aspect ratio of via made it critical to obtain a continuous, conformal coverage of the copper diffusion barrier layer using iPVD.In the first part of this thesis, a promising deposition technique by MOCVD has been developed at low temperature to fulfill various integration schemes including via last and via middle processes.Characterizations of the behavior of these materials in the TSV then became a great challenge in order to handle the integration protocol. Working at theses scales makes standard methods limited to evaluate the intrinsic properties inside the TSV. In the second part, the implementations of advanced characterization into these structures were carried out.
8

Elaboration de cellules photovoltaïques hybrides solides à base d'oxyde de zinc nanostructuré / Development of hybrid solid solar cells based on nanostructured zinc oxide

Schlur, Laurent 30 October 2012 (has links)
Cette thèse est consacrée à l’élaboration de cellules photovoltaïques hybrides solides sensibilisées à colorant, composées d’une couche dense de germes de ZnO recouverte de nanobâtonnets de ZnO sensibilisés par un colorant et infiltrés par du spiro-OMeTAD. La couche dense de germes de ZnO a été optimisée, afin qu’elle soit compacte, homogène et bien orientée. Les nanobâtonnets sont synthétisés par voie hydrothermale. L’influence de différents paramètres de synthèse sur la morphologie des nanobâtonnets a été testée. Deux méthodes permettant de modifier l’écart entre les nanobâtonnets ont également été mises au point. Les performances des cellules photovoltaïques varient en fonction de la longueur des nanobâtonnets, du colorant utilisé, de la durée de vieillissement des cellules à l’air, l’atmosphère, la température… Enfin, nous avons réussi à obtenir un rendement dépassant 1%, ce qui est supérieur à la meilleure performance publiée actuellement (0,25%) pour le même type de dispositif. / This thesis deals with solid state dye sensitized solar cells in which dye sensitized ZnO nanorods are associated with spiro-OMeTAD (solid hole conductor). Nanorods are grown on the top of a dense ZnO seed layer. The growth of a dense, homogeneous and well oriented layer is achieved after optimization of the deposition procedure. ZnO nanorods are obtained after submitting the dense ZnO layer to an hydrothermal treatment. The nanorods morphology evolution with reactants concentration, reaction temperature and time,… was determined. The solar cells performances depend also on the nanorods length, the type of dye, the cell ageing time in air, the atmosphere and the cell temperature…The best performance published nowadays (0.25%) for our type of photovoltaic devices is really inferior to the 1% efficiency we obtained.
9

New Precursors for CVD Copper Metallization

Norman, John A. T., Perez, Melanie, Schulz, Stefan E., Waechtler, Thomas 02 October 2008 (has links)
A novel CVD copper process is described using two new copper CVD precursors, KI3 and KI5, for the fabrication of IC or TSV (Through Silicon Via) copper interconnects. The highly conformal CVD copper can provide seed layers for subsequent copper electroplating or can be used to directly fabricate the interconnect in one step. These new precursors are thermally stable yet chemically reactive under CVD conditions, growing copper films of exceptionally high purity at high growth rates. Their thermal stability can allow for elevated evaporation temperatures to generate the high precursor vapor pressures needed for deep penetration into high aspect ratio TSV vias. Using formic acid vapor as a reducing gas with KI5, copper films of > 99.99 atomic % purity were grown at 250&deg;C on titanium nitride at a growth rate of > 1500 &Aring;/min. Using tantalum nitride coated TSV type wafers, ~ 1700 &Aring; of highly conformal copper was grown at 225&deg;C into 32 &mu;m × 5 &mu;m trenches with good adhesion. With ruthenium barriers we were able to grow copper at 125&deg;C at a rate of 20 &Aring;/min to give a continuous ~ 300 &Aring; copper film. In this respect, rapid low temperature CVD copper growth offers an alternative to the long cycle times associated with copper ALD which can contribute to copper agglomeration occurring. &copy; 2008 Elsevier B.V.
10

ALD-grown seed layers for electrochemical copper deposition integrated with different diffusion barrier systems

Waechtler, Thomas, Ding, Shao-Feng, Hofmann, Lutz, Mothes, Robert, Xie, Qi, Oswald, Steffen, Detavernier, Christophe, Schulz, Stefan E., Qu, Xin-Ping, Lang, Heinrich, Gessner, Thomas 18 May 2011 (has links) (PDF)
The deposition of Cu seed layers for electrochemical Cu deposition (ECD) via atomic layer deposition (ALD) of copper oxide and subsequent thermal reduction at temperatures between 110 and 120°C was studied on different diffusion barrier systems. While optimization of the process is required on TaN with respect to reduction and plating, promising results were obtained on blanket PVD Ru. The plating results on layers of ALD Cu with underlying Ru even outperformed the ones achieved on PVD Cu seed layers with respect to morphology and resistivity. Applying the processes to via and line patterns gave similar results, suggesting that a combination of ALD Cu with PVD or ALD-grown Ru could significantly improve the ECD Cu growth.

Page generated in 0.0463 seconds