• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 5
  • Tagged with
  • 5
  • 5
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • 3
  • 2
  • 2
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

SiGeC Heterojunction Bipolar Transistors

Suvar, Erdal January 2003 (has links)
Heterojunction bipolar transistors (HBT) based on SiGeC havebeen investigated. Two high-frequency architectures have beendesigned, fabricated and characterized. Different collectordesigns were applied either by using selective epitaxial growthdoped with phosphorous or by non-selective epitaxial growthdoped with arsenic. Both designs have a non-selectivelydeposited SiGeC base doped with boron and a poly-crystallineemitter doped with phosphorous. Selective epitaxial growth of the collector layer has beendeveloped by using a reduced pressure chemical vapor deposition(RPCVD) technique. The incorporation of phosphorous and defectformation during selective deposition of these layers has beenstudied. A major problem of phosphorous-doping during selectiveepitaxy is segregation. Different methods, e.g. chemical orthermal oxidation, are shown to efficiently remove thesegregated dopants. Chemical-mechanical polishing (CMP) hasalso been used as an alternative to solve this problem. The CMPstep was successfully integrated in the HBT process flow. Epitaxial growth of Si1-x-yGexCy layers for base layerapplications in bipolar transistors has been investigated indetail. The optimization of the growth parameters has beenperformed in order to incorporate carbon substitutionally inthe SiGe matrix without increasing the defect density in theepitaxial layers. The thermal stability of npn SiGe-based heterojunctionstructures has been investigated. The influence of thediffusion of dopants in SiGe or in adjacent layers on thethermal stability of the structure has also been discussed. SiGeC-based transistors with both non-selectively depositedcollector and selectively grown collector have been fabricatedand electrically characterized. The fabricated transistorsexhibit electrostatic current gain values in the range of 1000-2000. The cut-off frequency and maximum oscillation frequencyvary from 40-80 GHz and 15-30 GHz, respectively, depending onthe lateral design. The leakage current was investigated usinga selectively deposited collector design and possible causesfor leakage has been discussed. Solutions for decreasing thejunction leakage are proposed. <b>Key words:</b>Silicon-Germanium-Carbon (SiGeC),Heterojunction bipolar transistor (HBT), chemical vapordeposition (CVD), selective epitaxy, non-selective epitaxy,collector design, high-frequency measurement, dopantsegregation, thermal stability.
2

SiGeC Heterojunction Bipolar Transistors

Suvar, Erdal January 2003 (has links)
<p>Heterojunction bipolar transistors (HBT) based on SiGeC havebeen investigated. Two high-frequency architectures have beendesigned, fabricated and characterized. Different collectordesigns were applied either by using selective epitaxial growthdoped with phosphorous or by non-selective epitaxial growthdoped with arsenic. Both designs have a non-selectivelydeposited SiGeC base doped with boron and a poly-crystallineemitter doped with phosphorous.</p><p>Selective epitaxial growth of the collector layer has beendeveloped by using a reduced pressure chemical vapor deposition(RPCVD) technique. The incorporation of phosphorous and defectformation during selective deposition of these layers has beenstudied. A major problem of phosphorous-doping during selectiveepitaxy is segregation. Different methods, e.g. chemical orthermal oxidation, are shown to efficiently remove thesegregated dopants. Chemical-mechanical polishing (CMP) hasalso been used as an alternative to solve this problem. The CMPstep was successfully integrated in the HBT process flow.</p><p>Epitaxial growth of Si1-x-yGexCy layers for base layerapplications in bipolar transistors has been investigated indetail. The optimization of the growth parameters has beenperformed in order to incorporate carbon substitutionally inthe SiGe matrix without increasing the defect density in theepitaxial layers.</p><p>The thermal stability of npn SiGe-based heterojunctionstructures has been investigated. The influence of thediffusion of dopants in SiGe or in adjacent layers on thethermal stability of the structure has also been discussed.</p><p>SiGeC-based transistors with both non-selectively depositedcollector and selectively grown collector have been fabricatedand electrically characterized. The fabricated transistorsexhibit electrostatic current gain values in the range of 1000-2000. The cut-off frequency and maximum oscillation frequencyvary from 40-80 GHz and 15-30 GHz, respectively, depending onthe lateral design. The leakage current was investigated usinga selectively deposited collector design and possible causesfor leakage has been discussed. Solutions for decreasing thejunction leakage are proposed.</p><p><b>Key words:</b>Silicon-Germanium-Carbon (SiGeC),Heterojunction bipolar transistor (HBT), chemical vapordeposition (CVD), selective epitaxy, non-selective epitaxy,collector design, high-frequency measurement, dopantsegregation, thermal stability.</p>
3

Etudes structurales et morphologiques et réalisation d’épitaxies à base de Si pour dispositifs électroniques / Structure and morphology study of Si-based epitaxies for electronic devices

Seiss, Birgit 19 December 2013 (has links)
Dans les technologies d'aujourd'hui, l’épitaxie est une technique indispensable pour la fabrication des composants. Avec la diminution continue de la taille des transistors les objets epitaxiés rétrécissent aussi. Par conséquence, des effets morphologiques qui sont négligeables à grande échelle, doivent être considéré dans les petits motifs, et de plus des anisotropies doivent être prises en compte. C'est pour cela que cette thèse est consacrée à l'étude de la morphologie en fonction de la taille et de l'orientation des motifs. La caractérisation de la morphologie du SiGe comme déposé sur des motifs orientés selon <100> et <110> nous conduit à introduire de nouveaux effets de charge, pas encore reportés dans la littérature. Après avoir étudié en profondeur la morphologie après croissance, les épitaxies sont soumises à des températures légèrement supérieures à celle de dépôt, et les changements sont discutés en fonction de l'orientation et de la largeur des lignes. Des recuits sous H2 à des températures plus élevées sont réalisés sur des motifs différents ce qui permet l'observation des effets morphologiques en bord et en coin de motif. Ces effets dominent la morphologie globale des couches epitaxiées quand la taille des motifs diminue. En particulier, la stabilité des lignes de Si et SiGe lors des recuits est étudiée, ce qui permet de déterminer les facteurs importants pour la stabilité des lignes. Dans des expériences supplémentaires un procédé est développé pour augmenter la stabilité thermique des couches SiGe. En outre, l'épitaxie cyclique - nécessaire pour réaliser les sources/drains des CMOS avancés - est discutée. L'influence des changements dans l'étape de gravure d'un procédé cyclique de Si, en gardant l'étape de dépôt inchangée, est étudiée pour des motifs orientés selon <100>. Nous avons trouvé des conditions dans lesquelles la couche n'est plus continue. Des expériences pour étudier la gravure séparément permettent d'expliquer les phénomènes observés. / In current technology nodes, epitaxy is an indispensable technique in device fabrication. With the continuous decrease of the transistor size, the epitaxial objects shrink as well. As a consequence, morphology effects which can be neglected at the large scale, have to be considered in small patterns and in addition, anisotropies have to be taken into account. Therefore, this thesis is dedicated to morphology studies as a function of pattern size and orientation. The characterization of the SiGe morphology in the as-deposited state on <100> and <110> oriented patterns leads to the introduction of new loading effects, which have not been reported elsewhere so far. After having studied thoroughly the as-deposited morphology, the epitaxial layers are exposed to a temperature slightly higher than the deposition temperature and the changes are discussed as a function of line width and orientation. H2 annealing at higher temperatures are performed with various Si and SiGe patterns leading to the observation of morphology effects at the pattern edges and corners. These effects dominate the global layer appearance with decreasing pattern size. In particular, the stability of annealed Si and SiGe lines is studied which allows to determine the crucial factors for line stability. In additional experiments, a process is developed which can increase the thermal stability of epitaxial SiGe. Moreover, cyclic epitaxy - required for sources/drains of advanced CMOS devices - is discussed. The influence of changes in the etch step of a cyclic Si process, by keeping the deposition step unchanged, is studied for <100> oriented patterns. Conditions are found, where cyclic epitaxy results in a discontinuous layer. Experiments, which consider the etching separately can explain the observed phenomena.
4

Chemical Vapor Depositionof Si and SiGe Films for High-Speed Bipolar Transistors

Pejnefors, Johan January 2001 (has links)
This thesis deals with the main aspects in chemical vapordeposition (CVD) of silicon (Si) and silicon-germanium (Si1-xGex) films for high-speed bipolar transistors.In situdoping of polycrystalline silicon (poly-Si)using phosphine (PH3) and disilane (Si2H6) in a low-pressure CVD reactor was investigated toestablish a poly-Si emitter fabrication process. The growthkinetics and P incorporation was studied for amorphous Si filmgrowth. Hydrogen (H) incorporated in the as-deposited films wasrelated to growth kinetics and the energy for H2desorption was extracted. Film properties such asresistivity, mobility, carrier concentration and grain growthwere studied after crystallization using either furnaceannealing or rapid thermal annealing (RTA). In order tointegrate an epitaxial base, non-selective epitaxial growth(NSEG) of Si and SiGe in a lamp-heated single-waferreduced-pressure CVD reactor was examined. The growth kineticsfor Si epitaxy and poly-Si deposition showed a differentdependence on the deposition conditions i.e. temperature andpressure. The growth rate difference was mainly due to growthkinetics rather than wafer surface emissivity effects. However,it was observed that the growth rate for Si epitaxy and poly-Sideposition was varying during growth and the time-dependencewas attributed to wafer surface emissivity variations. A modelto describe the emissivity effects was established, taking intoconsideration kinetics and the reactor heating mechanisms suchas heat absorption, emission andconduction. Growth ratevariations in opening of different sizes (local loading) andfor different oxide surface coverage (global loading) wereinvestigated. No local loading effects were observed, whileglobal loading effects were attributed to chemical as well astemperature effects. Finally, misfit dislocations formed in theSiGe epitaxy during NSEG were found to originate from theinterface between the epitaxial and polycrystalline regions.The dislocations tended to propagate across the activearea. <b>Keywords:</b>chemical vapor deposition (CVD), bipolarjunction transistor (BJT), heterojunction bipolar transistor(HBT), silicon-germanium (SiGe), epitaxy, poly-Si emitter,in situdoping, non-selective epitaxy (NSEG), loadingeffect, emissivity effect
5

Chemical Vapor Depositionof Si and SiGe Films for High-Speed Bipolar Transistors

Pejnefors, Johan January 2001 (has links)
<p>This thesis deals with the main aspects in chemical vapordeposition (CVD) of silicon (Si) and silicon-germanium (Si<sub>1-x</sub>Ge<sub>x</sub>) films for high-speed bipolar transistors.<i>In situ</i>doping of polycrystalline silicon (poly-Si)using phosphine (PH<sub>3</sub>) and disilane (Si<sub>2</sub>H<sub>6</sub>) in a low-pressure CVD reactor was investigated toestablish a poly-Si emitter fabrication process. The growthkinetics and P incorporation was studied for amorphous Si filmgrowth. Hydrogen (H) incorporated in the as-deposited films wasrelated to growth kinetics and the energy for H<sub>2</sub>desorption was extracted. Film properties such asresistivity, mobility, carrier concentration and grain growthwere studied after crystallization using either furnaceannealing or rapid thermal annealing (RTA). In order tointegrate an epitaxial base, non-selective epitaxial growth(NSEG) of Si and SiGe in a lamp-heated single-waferreduced-pressure CVD reactor was examined. The growth kineticsfor Si epitaxy and poly-Si deposition showed a differentdependence on the deposition conditions i.e. temperature andpressure. The growth rate difference was mainly due to growthkinetics rather than wafer surface emissivity effects. However,it was observed that the growth rate for Si epitaxy and poly-Sideposition was varying during growth and the time-dependencewas attributed to wafer surface emissivity variations. A modelto describe the emissivity effects was established, taking intoconsideration kinetics and the reactor heating mechanisms suchas heat absorption, emission andconduction. Growth ratevariations in opening of different sizes (local loading) andfor different oxide surface coverage (global loading) wereinvestigated. No local loading effects were observed, whileglobal loading effects were attributed to chemical as well astemperature effects. Finally, misfit dislocations formed in theSiGe epitaxy during NSEG were found to originate from theinterface between the epitaxial and polycrystalline regions.The dislocations tended to propagate across the activearea.</p><p><b>Keywords:</b>chemical vapor deposition (CVD), bipolarjunction transistor (BJT), heterojunction bipolar transistor(HBT), silicon-germanium (SiGe), epitaxy, poly-Si emitter,<i>in situ</i>doping, non-selective epitaxy (NSEG), loadingeffect, emissivity effect</p>

Page generated in 0.0524 seconds