• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 10
  • 6
  • Tagged with
  • 16
  • 16
  • 16
  • 16
  • 10
  • 8
  • 7
  • 5
  • 5
  • 5
  • 5
  • 5
  • 4
  • 4
  • 4
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Corrélation entre les procédés de fabrication, les propriétés microstructurales et les propriétés mécaniques de couches minces métalliques pour applications microsystèmes / Correlation between manufacturing processes, microstructural properties and mechanical properties of metallic thin films for MEMS applications

Martegoutte, Julien 25 April 2012 (has links)
L'agence spatiale européenne et le CNES étudient la possibilité d’envoyer des microsystèmes dans l’espace, en particulier pour le gain de masse qu’ils représentent. Afin d’améliorer la fiabilité des composants en couches minces dans le temps et leurs performances, il est nécessaire de connaitre leurs propriétés mécaniques. Plusieurs techniques de caractérisation existent, en particulier la nanoindentation qui sollicite les couches minces directement sur substrat. Mais les résultats peuvent être largement influencés par le substrat dans le cas des couches microniques. Les méthodes de traction uniaxiale (CNES) et du gonflement de membranes autoportantes (INL) permettent de s’affranchir des effets du substrat, mais la fabrication de telles structures est complexe et nécessite bon nombre d’étapes technologiques pour retirer le substrat en face arrière. L’objectif de cette thèse est de comprendre le lien qui existe entre les paramètres de fabrication de couches minces métalliques d'or et d'aluminium, leur microstructure, et leurs propriétés mécaniques à l’aide des outils présentés précédemment. Une perspective étant de mieux agir sur les procédés de fabrication afin d’améliorer la fiabilité des composants. Le premier chapitre présente les différentes techniques de dépôt, leur thermodynamique et cinétique, les types de microstructures rapportées dans la littérature, ainsi que la réalisation des structures de test. Le deuxième présente les caractérisations microstructurales, et la corrélation entre les paramètres de dépôt et les propriétés microstructurales est discutée. Le chapitre trois présente les caractérisations mécaniques des couches minces, sur substrat ou autoportantes, par les méthodes de nanoindentation en pointe Berkovich et sphérique, de microtraction et du gonflement de membrane. Le dernier chapitre est consacré aux relations entre les propriétés microstructurales et mécaniques des couches minces métalliques et à l'influence des traitements thermiques. / The European Space Agency and CNES are studying the possibility of sending microsystems in space, especially for the mass gain they represent. To improve the reliability of components, it is necessary to know their mechanical properties. Several characterization techniques exist, especially nanoindentation of thin films on substrates. However, results can be largely influenced by the substrate in the case of micron layers. The methods of uniaxial tension (CNES) and bulge-test (INL) on freestanding specimen are used to eliminate the effects of the substrate, but the fabrication of such structures is complex and requires many technological steps to remove the substrate on the backside. The objective of this thesis is to understand the relationship between manufacturing parameters of thin metal films of gold and aluminum thin films, their microstructure and mechanical properties using the tools described above. The first chapter presents different deposition techniques, their thermodynamics and kinetics, types of microstructures reported in the literature, and the fabrication of test structures. The second presents the microstructural characterization, and correlation between deposition parameters and the microstructural properties is discussed. Chapter three presents the mechanical characterization of thin films on substrate or freestanding ones, by the methods of nanoindentation with Berkovich and spherical tips, microtensile test and bulge test. The last chapter is devoted to relations between microstructural and mechanical properties of thin metal films and the influence of heat treatments.
2

Etudes structurales et morphologiques et réalisation d’épitaxies à base de Si pour dispositifs électroniques / Structure and morphology study of Si-based epitaxies for electronic devices

Seiss, Birgit 19 December 2013 (has links)
Dans les technologies d'aujourd'hui, l’épitaxie est une technique indispensable pour la fabrication des composants. Avec la diminution continue de la taille des transistors les objets epitaxiés rétrécissent aussi. Par conséquence, des effets morphologiques qui sont négligeables à grande échelle, doivent être considéré dans les petits motifs, et de plus des anisotropies doivent être prises en compte. C'est pour cela que cette thèse est consacrée à l'étude de la morphologie en fonction de la taille et de l'orientation des motifs. La caractérisation de la morphologie du SiGe comme déposé sur des motifs orientés selon <100> et <110> nous conduit à introduire de nouveaux effets de charge, pas encore reportés dans la littérature. Après avoir étudié en profondeur la morphologie après croissance, les épitaxies sont soumises à des températures légèrement supérieures à celle de dépôt, et les changements sont discutés en fonction de l'orientation et de la largeur des lignes. Des recuits sous H2 à des températures plus élevées sont réalisés sur des motifs différents ce qui permet l'observation des effets morphologiques en bord et en coin de motif. Ces effets dominent la morphologie globale des couches epitaxiées quand la taille des motifs diminue. En particulier, la stabilité des lignes de Si et SiGe lors des recuits est étudiée, ce qui permet de déterminer les facteurs importants pour la stabilité des lignes. Dans des expériences supplémentaires un procédé est développé pour augmenter la stabilité thermique des couches SiGe. En outre, l'épitaxie cyclique - nécessaire pour réaliser les sources/drains des CMOS avancés - est discutée. L'influence des changements dans l'étape de gravure d'un procédé cyclique de Si, en gardant l'étape de dépôt inchangée, est étudiée pour des motifs orientés selon <100>. Nous avons trouvé des conditions dans lesquelles la couche n'est plus continue. Des expériences pour étudier la gravure séparément permettent d'expliquer les phénomènes observés. / In current technology nodes, epitaxy is an indispensable technique in device fabrication. With the continuous decrease of the transistor size, the epitaxial objects shrink as well. As a consequence, morphology effects which can be neglected at the large scale, have to be considered in small patterns and in addition, anisotropies have to be taken into account. Therefore, this thesis is dedicated to morphology studies as a function of pattern size and orientation. The characterization of the SiGe morphology in the as-deposited state on <100> and <110> oriented patterns leads to the introduction of new loading effects, which have not been reported elsewhere so far. After having studied thoroughly the as-deposited morphology, the epitaxial layers are exposed to a temperature slightly higher than the deposition temperature and the changes are discussed as a function of line width and orientation. H2 annealing at higher temperatures are performed with various Si and SiGe patterns leading to the observation of morphology effects at the pattern edges and corners. These effects dominate the global layer appearance with decreasing pattern size. In particular, the stability of annealed Si and SiGe lines is studied which allows to determine the crucial factors for line stability. In additional experiments, a process is developed which can increase the thermal stability of epitaxial SiGe. Moreover, cyclic epitaxy - required for sources/drains of advanced CMOS devices - is discussed. The influence of changes in the etch step of a cyclic Si process, by keeping the deposition step unchanged, is studied for <100> oriented patterns. Conditions are found, where cyclic epitaxy results in a discontinuous layer. Experiments, which consider the etching separately can explain the observed phenomena.
3

Fabrication and optical simulation of periodic nanostructures and their applications / Fabrication et simulation optique de nanostructures périodiques et leurs applications

Liu, Jia 31 March 2016 (has links)
Les nanostructures périodiques jouent un rôle important dans le domaine des nanotechnologies, en particulier dans le contrôle des photons. Bien qu'il existe de nombreuses techniques d'usage général pour la fabrication et la simulation optique, nous avons développé une technique de fabrication sur mesure et une méthode de simulation optiques pour les structures périodiques pour accélérer le prototypage à l’échelle du laboratoire et la conception optique. Dans la première partie de cette thèse, nous décrivons une technique lithographique nommée « Laser Interference Lithography » (LIL) à faible coût pour la fabrication de nanostructures périodiques. La technique LIL est combinée avec gravure sèche, gravure humide et technique de gravure électrochimique pour réaliser, respectivement, des trous cylindriques, des pyramides inversées et des réseaux taux de pores bi-périodiques à facteur d’aspect élevé sur le substrat à base de silicium. Les modèles unidimensionnels sur des substrats en verre sont également utilisés comme nanofiltres dans la réalisation de la puce de pré-concentration à faible coût. Dans la deuxième partie, nous décrivons d'abord une méthode de calcul électromagnétique rigoureuse Rigorous Coupled-Wave Analysis (RCWA) conçu pour les structures périodiques. Une description détaillée est donnée pour expliquer la méthode numérique. Ensuite, nous combinons la méthode RCWA et une nouvelle approche proposée de la conception des modèles pseudo-désordonnée pour améliorer le piégeage des photons. A titre d'exemple, nous démontrons que, en ajoutant des structures désordonnées à petite échelle sur des arrangements périodiques à grande échelle, la performance quant à l’absorption des couches minces de silicium peut être grandement améliorée. / Periodic nanostructures play an important role in the domain of nanotechnology, especially in photon control. While there exist many general purpose techniques for fabrication and optical simulation, we show tailored fabrication and optical simulation methods for periodic structures to accelerate lab-scale prototyping and optical design. In the first part of this dissertation, we describe a low-cost lithographic technique named Laser Interference Lithography (LIL) for fabricating periodic nanostructures. LIL technique is combined with dry-etching, wet-etching and electrochemical etching technique to realize, respectively, cylindrical holes, inverted pyramids and high aspect ratio pore arrays on silicon based substrate. The one-dimensional patterns on glass substrates are also used as nanofilters in realizing low-cost preconcentration chip. In the second part, we first describe Rigorous Coupled-Wave Analysis (RCWA), a rigorous electromagnetic calculation method designed for periodic structures. A detailed derivation is given to explain the numerical method. Then, we combine the RCWA method and a new proposed pseudo-disordered patterns design approach to investigate photon control. As an example, we demonstrate that by adding ‘appropriate’ engineered fine stripes to each long period the absorption performance of thin silicon slab can be largely enhanced.
4

Procédés d'épitaxie spécifiques au CMOS 14 et 10 nm : Morphologie et structure / Si-based epitaxy processes for 14 and 10 nm CMOS technologies : Morphology and structure

Paredes-Saez, Victorien 12 May 2017 (has links)
Dans les technologies avancées, l’épitaxie des matériaux à base de silicium devient de plus en plus critique et les effets morphologiques importants. Les traitements thermiques ainsi que le dopage peuvent altérer la morphologie des épitaxies dégradant de façon considérable les performances des dispositifs. Les travaux de thèse ont pour objectifs de comprendre et résoudre ces problématiques, ils concernent donc l’étude de la morphologie et des forts dopages des épitaxies dans les motifs de petites tailles des technologies CMOS 14 et 10 nm. Nous avons étudié l’influence des conditions de recuit sous H2 sur la morphologie des épitaxies. Ceci a conduit à la détermination de la cinétique d’arrondissement thermique dans les petits motifs (100 nm et moins). D’après une analyse de la cinétique, deux énergies ont été identifiées : 2,9 eV et 7,7 eV. L’énergie de 2,9 eV montre qu’aux hautes températures, la diffusion de surface est le principal mécanisme de l’arrondissement thermique. Aux faibles températures, l’augmentation de la couverture d’hydrogène limite encore plus cette diffusion, augmentant fortement l’énergie obtenue. Nous avons observé que la pression du gaz porteur et la nature de celui-ci ont un impact important sur la diffusion de surface et modulent donc la cinétique d’arrondissement thermique. La caractérisation par microscopie à force atomique de croissances sélectives de couches SiGe dopées bore, montre que le bore modifie grandement la morphologie de croissance, ainsi que l’arrondissement thermique. Pour un même recuit, un motif dopé s’arrondit beaucoup plus rapidement qu’un motif non dopé. Les épitaxies développées au cours de ces travaux ont été intégrées avec succès dans les sources/drains du CMOS 14 nm. Selon le besoin, les épitaxies peuvent soit présenter de larges facettes bien définies soit aucune facette, et cela grâce à un procédé adéquat que ce travail a permis de proposer et développer / In advanced technologies, the Si-based materials epitaxy becomes more and more challenging and the morphological effects very important. The thermal treatments as well as the doping may degrade the epitaxies’ morphology resulting in considerably damaging the devices’ performances. The works presented in this thesis, aim at understanding and solving these problematics. Thus, they are focused on the study of the epitaxies’ morphology and high doping in the small patterns of the 10 and 14 nm CMOS technologies. The influence of the H2 annealing conditions on the morphology was studied. This led to determine the thermal rounding kinetics in small patterns. According to a kinetics analysis, two energies were identified: 2.9 eV and 7.7 eV. The 2.9 eV energy shows that at high temperatures, the surface diffusion is the thermal rounding main mechanism. At low temperatures, the hydrogen coverage’s increase limits even more this diffusion, greatly increasing the energy obtained. It was observed that the carrier gas pressure and its nature have a strong impact on the surface diffusion and thus modify the thermal rounding kinetics. The characterization by atomic force microscopy, of boron doped layer selectively grown, shows that the boron greatly modifies the growth’s morphology, as well as the thermal rounding. Considering a same annealing, the rounding phenomenon occurs faster in a doped pattern than in an un-doped pattern. The epitaxies developed during this work were successfully integrated to the 14 nm node sources and drains. Depending on the needs, the epitaxies can either present large and well defined facets or no facets, all of this thanks to an adequate process which was proposed and developed through this work.
5

Lithographie par division de pas de réseau pour les circuits logiques avancés / Lithography pitch division network for advanced logic circuits

Moulis, Sylvain 20 November 2014 (has links)
Aujourd'hui, les outils de lithographie utilisés dans l'industrie arrivent à leur limite de résolution en simple exposition. Pour continuer à diminuer les dimensions, il faut utiliser des techniques de double exposition, mais cela entraîne une explosion des coûts de fabrication. Cette thèse se focalise sur les aspects de modélisation de deux techniques, Sidewall Image Transfer et Directed Self-Assembly, qui sont pressenties pour permettre à l'industrie de continuer la réduction des dimensions des transistors, tout en minimisant les coûts. / Today, the lithographic tools used in industry came to their resolution limit in single patterning. In order to continue the reduction of dimensions, it is necessary to use double patterning, but this increase drastically the cost of manufacturing. This thesis focus on the modelisation aspects of two techniques, Sidewal Image Transfer and Directed Self-Assembly, that can help the industry continuing making transistors even smaller, while keeping the costs manageable.
6

Etude de la fiabilité des mesures électriques par la microscopie à force atomique sur couches diélectriques ultra-minces : Développement d'une technique de pompage de charge résolue spatialement pour la caractérisation des défauts d'interface / Study of the reliability of the electrical measurements obtained by atomic force microscopy : Development of a charge pumping method with spatial resolution

Grandfond, Antonin 16 December 2014 (has links)
Les progrès rapides de la microélectronique sont liées à la miniaturisation du transistor MOS. Pour limiter les courants de fuite, SiO2 a déjà été remplacé par HfO2.mais de nouveaux diélectriques de grande constante diélectrique (high-k) devront être intégrés pour poursuivre cette progression. Le microscope à force atomique (AFM) en mode Conductive-AFM (C-AFM) est aujourd'hui un outil incontournable pour la caractérisation électrique des diélectriques en couche mince à l'échelle nanométrique. Dans nos travaux, nous avons cherché à étudier les limites du C-AM. Le C-AFM consiste à utiliser une pointe AFM comme électrode supérieure afin de faire des mesures de type I(V) ou des cartographies de courant. Nous avons cherché à identifier le phénomène qui conduit à la dégradation de la couche diélectrique par l'application d'une tension de pointe positive, matérialisée par la déformation de la surface. Nous avons montré qu'il s'agissait d'un effet thermique due à la forte densité de courant, ne s'apparentant pas à la DBIE observée sur dispositif, et pouvant aller jusqu'à la détérioration du substrat à l'interface. Ce phénomène, sans en être la conséquence, est largement favorisé par la présence d'eau. Ceci confirme qu'il est préférable de réaliser les caractérisations électriques sous ultra-vide malgré les contraintes expérimentales. Les études du diélectriques sont ainsi compromises puisque le mode de dégradation est en partie propre à la technique AFM et ne permet pas aisément d'extrapoler le comportement du matériau intégré dans un dispositif. De plus, l'étude statistique la dégradation de la couche (Weibull), couramment utilisée, est affectée par un biais d'interdépendance. De la même façon, la modélisation de la conduction à travers la couche doit être utilisée avec précaution, car la surface du contact électrique pointe-diélectrique demeure un paramètre incertain. La technique de pompage de charges permet de caractériser les pièges à l'interface oxyde/semi- conducteur en les sollicitant par l'application d'une tension de grille périodique. Elle permet d'extraire la densité d'état Dit(E) les sections efficaces de capture (σ(E)), mais ne donne pas d'information sur leur répartition spatiale. Nous avons donc adapté cette technique à la microscopie champ proche, la pointe AFM conductrice faisant office de grille. Sur des transistors dépourvus de grille spécialement préparés pour l’occasion, nous avons pu montrer la faisabilité de la technique, en accord satisfaisant avec les mesures macroscopiques. Nous mesurons un signal que nous associons à un courant pompé. Cependant, le signal est déformé comparativement aux mesures macroscopiques. Un modèle physique reste à développer puisque dans notre cas, les charges minoritaires doivent traverser depuis la source et le drain un espace non polarisé par la grille. Par la suite, un dispositif de cartographie des défauts d'interface, éventuellement résolue en énergie, pourra être développé. / The rapid progress of the microelectronic is obtained by the strong reduction of the dimensions of the MOS transistor. In order to reduce the leakage currents SiO2 is nox replaced by HfO2, but new dielectrics with a high permittivity (high-k) will have to be integrated in the future so that the progession continues. The atomic force microscope (AFM) in Conductive-AFM (C-AFM) mode is an ideal tools for the electrical characterization of thin oxide films at the nanometric scale. In our work, we have tried to study the limits of the C-AFM. C-AFM consists in using an AFM tip as a top electrode in order to perform Intensity-Current (I-V) curves or mapping the current. We have tried and identify the phenomenon which lead to the degradation of the dielectric layer during the application of the positive voltage bias on the tip, which results in a deformation of the surface under study. We have shown that it is a thermal effect due to a large density of current, which is different from dielectric induced breakdown epitaxy (DBIE) observed on the devices, and which may even lead to the degradation of the susbstrate at the interface. This phenomon is favored by the presence of water on the surface although it is not its consequence. This confirms that such electrical measurements should be performed in ultra-high vacuum in spite of the consequences in terms of complexity of the measurement setup. As a consequence, the study of the dielectric material are questionned since the degradation process is partly due to the AFM technique itself and does not allow to extrapolate easily the behaviour of the integrated device. Moreover, the statistical study of the degradation of the layer (Weibull), commonly used, is affected by a bias (measurements are interdependent). In the same way, the modeling of the conduction through the layer must be questionned because the surface of the electrical contact between the tip and the dielectric layer remains a very variable parameter. The charge pumping technique, which consists in caracterizing the traps at the semiconductor / dielectric interface by filling/emptying them with the application of an alternating gate voltage. It allows to extract the states density (Dit(E) and the capture cross section (σ(E)) but does not provide any information about their repartition on the interface. So, we have adapted this technique to the scanning probe microscopy with the conducting AFM probe as a gate. Using gate-less transistors fabricated in the frame of this work, we have demonstrated the feasability of this technique with a satisfying agreement with macroscopic measurements. We are able to measure a signal that can be related to charge pumping. However, the signal is distorted compared to macroscopic measurements. Modeling is needed because in our case, minority carriers must travel from source to drain via a non polarised area. As a perspective, an energetically resolved method to map the interfacial defects might be developed.
7

Vers la réalisation de composants nanoélectroniques par anodisation localisée par AFM / Toward the realization of metalic nanoelectronic devices using local anodisation by AFM

Guillaume, Nicolas 14 December 2015 (has links)
Ce travail de thèse se compose de deux parties : tout d’abord nous avons caractérisé sur le plan morphologique des motifs de TiOx réalisés par anodisation localisée par AFM (LAO) dans des couches pleines plaques de 5 nm de titane. Nous avons étudié l’influence de la tension d’oxydation, de la vitesse de balayage de la pointe AFM, de l’humidité relative de l’environnement, du mode AFM (contact ou intermittent) et du type de pointe. Les motifs les plus fins atteignent une largeur à mi-hauteur de 21 nm pour 2.2 nm de hauteur, ils sont obtenus avec une pointe PtSi utilisée en mode intermittent sous une tension de polarisation de -7V, une vitesse de balayage de 0.4 µm.s-1 et dans un environnement comportant une humidité de 43%. La deuxième partie de notre travail a été consacrée à l’élaboration et à la caractérisation de jonctions planaires MIM Ti/TiOx/Ti. Ces jonctions sont des motifs TLM de titane comportant une ligne transverse de TiOx réalisée par LAO. Lorsque les jonctions sont stressées électriquement sous air, une transformation morphologique irréversible se produit pour une densité de courant et un champ électrique atteignant de l’ordre de 7.1010 A.m-2 et 3.107 V.m-1 respectivement. Des analyses chimiques et structurales basées sur la microscopie électronique à transmission ont montré que la ligne initiale de TiOx amorphe s’était considérablement élargie et est constituée d’une zone de TiOx cristallin. Cette transformation peut être évitée en appliquant le stress électrique sous vide. Enfin des mesures électriques en température ont permis d’élucider les mécanismes de conduction : émission Schottky sous vide et conduction ionique sous air. / This work is divided in two specific parts: first of all we caracterized oxide patterns made by local anodic oxidation using an AFM on 5nm titanium wafers. We caracterized the morphology of the patterns. We studied the influence of several parameters such as oxidation voltage, writing speed of the AFM tip, relative humidity of the environment, AFM modes (contact or tapping)and the type of the tips we used. Most thinnest pattern we made reaches a full width at half maximum of 21nm with a 2.2nm height. It was obtained using a PtSi coating tip in tapping mode with an oxidation voltage of -7V, a writing speed of 0.4 um/s and a relative humidity of 43%. The second part of our work was dedicated to the realization and the characterization of planar MIM junction Ti/TiOx/Ti. These junctions are TLM patterns with a TiOx line cross-ways over the microwire of the TLM pattern. When the junctions are stressed electrically under ambient atmosphere, an irreversible morphological transformation is happenning for a current density and an electric field of 7.1010 A/m² and 3.107 V/m respectively. Chemical and structural analysis based on transmission electronic microscopy have shown that the initial amorphous TiOx junction have grown importantly with an area of crystalline TiOx. This transformation can be avoided by applying the electric stress under vacuum. Finally, electrical measurements in temperature highlighted the transport mecanisms within the junction: Schottky emission under vacuum and ionic conduction under ambient atmosphere.
8

Intégration de microcanaux pour l'évacuation forcée de la chaleur au sein de puces 2D et 3D / Microchannel integration for forced heat removal on 2D and 3D chips

Collin, Louis-Michel 08 July 2016 (has links)
En microélectronique, plusieurs tendances telles que l'empilement 3D et l'amincissement de puces amènent des défis thermiques grandissants. Ces défis sont exacerbés lorsqu'appliqués aux appareils mobiles où l'espace et la puissance disponibles pour le refroidissement sont limités. Le but de cette thèse est de développer des outils de conception et méthodes d'implémentation de microcanaux pour le refroidissement microfluidique de puces 2D et 3D avec points chauds destinés aux appareils mobiles.Une méthode de conception pour optimiser la configuration des microcanaux refroidissant une puce est développée utilisant un plan d'expériences numériques. La configuration optimisée propose le refroidissement à une température maximale de 89 °C d'un point chaud de 2 W par un écoulement où la perte de charge est plus petit que 1 kPa. Des prototypes avec différents empilements et distributions de microcanaux sont fabriqués par gravure profonde et apposés par pick-and-place. Un banc de caractérisation et une puce thermique test sont fabriqués pour caractériser expérimentalement les prototypes de refroidissement avec différentes configurations. Un prototype avec microcanaux limités aux alentours des points chauds et reportés sur la face arrière de la puce test atteint une résistance thermique de 2.8 °C/W. Cela est réalisé avec un débit de 9.4 ml/min et des pertes de charges de 19.2 kPa, soit une puissance hydraulique de 3 mW. Ce refroidissement extrait 7.3 W générés sur un seul serpentin à un flux thermique de 1 185 W/cm² pour un coefficient de performance de 2 430. Les résultats de l'optimisation suggèrent que la dissipation thermique soit exploitée en ajoutant des microcanaux en parallèle, plutôt qu'en allongeant les microcanaux. On observe expérimentalement comme numériquement que la résistance liée à la hausse de température du fluide domine la résistance totale. Enfin, il apparaît que les différents empilements ont un effet plus important sur la résistance thermique que les distributions de microcanaux dans les plages observées. / In microelectronics, trends such as 3D stacking and die thinning bring major thermal challenges. Those challenges are exacerbated when applied to mobile devices where the available space and power for cooling are limited. This thesis aims at developing design tools and implementation techniques for microchannels cooling on 2D and 3D chips with hot spots for mobile devices. A design technique to optimize the microchannel configuration for chip cooling is developed using numerical experimentation plans. The optimized configuration suggests a cooling configuration reaching a maximum temperature of 89 °C on a 2 W hot spot, using a flow at a pressure drop plus petit que 1 kPa. Prototypes with different stacking and microchannel distributions are fabricated using deep reactive ion etching process and stacked using pick-and-place technique. A characterization bench and a thermal test chip are fabricated for experimental characterization of the cooling prototypes from various configurations. A prototype with microchannel zones limited to the hot spot vicinity and installed on the backside of the test chip reached a thermal resistance of 2.8 °C/W. This performance is achieved using a flow rate of 9.4 ml/min with a pressure drop of 19.2 kPa, representing a hydraulic power of 3 mW. Such cooling removes 7.3 W generated on a single heat source, representing a heat flux of 1 185 W/cm² for a coefficient of performance of 2 430. The optimization results suggest that the heat spreading is better exploited using parallel microchannels, rather than lengthen microchannels. It is both observed experimentally and numerically that the thermal resistance related to the fluid temperature rise is the major contribution to the total thermal resistance. Finally, it appears that the different stacking effects on thermal resistance are more important than the microchannels distributions in the observed ranges.
9

Innovative materials for packaging / Matériaux innovants pour le packaging

Halawani, Nour 14 February 2017 (has links)
Ce travail porte sur l'étude du mélange thermodurcissable - thermoplastique (époxyamine / polyetherimide avec séparation de phase) pour évaluer les performances électriques et thermiques. Ces matériaux seraient des nouveaux candidats pour remplacer la couche d'encapsulation dans les semi-conducteurs, par exemple ceux utilisés comme interrupteur dans les applications électroniques de puissance. Les mélanges de polymères seraient un nouveau candidat en tant qu'isolant pour le système. La matrice epoxy-amine seul et les melanges epoxy / Polyetherimide on été caractérisés par microscopie électronique à transmission, microscopie électronique à balayage, Calorimétrie différentielle à balayage, analyse thermogravimétrique, analyse mécanique dynamique, analyse diélectrique avec simulation analytique et des mesures de conductivité électrique et de tension de claquage ont également été entreprises. Ces techniques complémentaires ont d'abord été utilisées pour étudier la séparation de phases et ensuite pour quantifier la taille des nodules de thermoplastiques dans la matrice thermodurcissable. Cette séparation de phase a été examiné et a montré une diminution des valeurs diélectriques de 15% et une augmentation de la tension de claquage par rapport au système époxy-amine pur. / This work deals with the study of thermoset-thermoplastic blend (epoxy-amine/poly-etherimide phase separated) to assess the electrical and thermal performances. These materials would be new candidates to replace the encapsulation layer in semiconductors, for example ones used as switches in power electronic applications. Polymers blends would be a novel candidate as an insulator for the system. Pure epoxy system as well as Epoxy/Polyetherimide blends where characterized by transmission electron microscopy, scanning electron microscopy, differential scanning calorimetry, thermogravimetric analysis, dynamic mechanical analysis, dielectric analysis with analytical simulation, electrical conductivity and breakdown voltage measurements. These complementary techniques were used first to investigate the presence of the phase separation phenomenon and secondly to quantify the separated nodules size. The effect of this phase separation was examined and showed a decrease in the dielectric values of 15 % and an increase in the breakdown voltage compared to the pure epoxy system. It was finally simulated to show a close assumption of what is found experimentally.
10

Développement de nouveaux procédés d’isolation électrique par anodisation localisée du silicium / Development of a new process for electrical isolation of ULSI CMOS ciruits based on local anodization of silicium

Garbi, Ahmed 08 July 2011 (has links)
L’industrie microélectronique est régie depuis plusieurs années par la loi de miniaturisation. En particulier, en technologie CMOS, les procédés de fabrication de l’oxyde permettant l’isolation électrique entre les transistors nécessitent sans cesse d’être améliorés pour répondre aux défis de cette loi. Ainsi, on est passé du procédé d’isolation par oxydation localisée de silicium (LOCOS) au procédé d’isolation par tranchées (STI). Cependant, ce dernier a montré pour les technologies en développement des limitations liées au remplissage non parfait par la silice de tranchées de moins en moins larges (Voiding) et au ‘‘surpolissage’’ des zones les plus larges (Dishing). Le procédé FIPOS (full isolation by porous oxidation of silicon) a été donc proposé comme solution alternative. Il est basé sur la formation sélective et localisée du silicium poreux qui est transformé ensuite en silice par un recuit oxydant. Cette piste prometteuse a constitué le point de départ de ce travail. Dans ce contexte, la thèse s’est focalisée sur deux axes principaux qui concernaient d’une part la maîtrise du procédé d’anodisation électrochimique pour la formation du silicium poreux et d’autre part l’optimisation du procédé d’oxydation. Dans une première partie de notre travail, l’analyse des caractéristiques courant-tension I-V menée sur le silicium durant son anodisation électrochimique a permis de montrer que la formation du silicium poreux dépend fortement de la concentration en dopants. Cette propriété nous a permis de développer une technique simple d’extraction du profil de dopage dans le silicium de type p par voie électrochimique. On a montré que la résolution en profondeur de cette technique est liée au niveau du dopage et s’approche de celle du SIMS (spectroscopie de masse d'ions secondaires) pour les fortes concentrations avec une valeur estimée à 60 nm/décade. Dans une deuxième partie, nous avons mis en évidence la formation localisée du silicium poreux oxydé. En effet, un choix judicieux du potentiel d’anodisation permet de rendre poreux sélectivement des régions fortement dopées implantées sur un substrat de silicium faiblement dopé. Ces régions sont ensuite transformées en oxyde par un recuit oxydant. Par ailleurs, les conditions optimales des processus d’oxydation et d’anodisation permettant d’obtenir un oxyde final de bonne qualité diélectrique sont analysées. / The microelectronic industry is still ruled up to now by the law of miniaturization or scaling. In particular, in CMOS (complementary metal-oxide semiconductor) technology, the oxide allowing electric isolation between p- and n-MOS transistors has also been scaled down and has then exhibited different technological processes going from LOCOS (local oxidation of silicon) to STI (shallow trench isolation) and arriving to FIPOS (full isolation by porous oxidation of silicon). The latter seems to be the most promising alternative solution that can overcome actual limitations of voiding and dishing encountered in the STI process. The approach, which is based on selective formation of porous silicon and its easy transformation to silicon dioxide, has aroused our motivation to be well studied. In this context, the PhD project has first focused on the understanding of electrochemical porous silicon formation, and then on the study of porous silicon oxidation. In a first part of our work, we emphasize the dependence of porous silicon formation with the silicon doping concentration through the investigation of current-voltage I-V characteristics measured on p- and n-type silicon electrodes during electrochemical anodization. Taking advantage of this dependence, we have developed a very simple electrochemical method allowing an accurate determination of doping profiles in p-type silicon. It has been shown that the depth resolution of the technique is readily linked to the doping level and it approaches that of the secondary ion mass spectroscopy (SIMS) analysis for high doping concentrations with an estimated value of 60 nm/decade. In a second step, we highlight the selective formation of oxidized porous silicon. In fact, with a correct choice of the applied potential during anodization, only highly doped regions implanted on a lightly doped silicon wafer are preferentially turned into porous silicon and subsequently oxidized. Furthermore, we give the optimum conditions for oxidation and anodization processes which result in an insulating oxide of reliable dielectric properties.

Page generated in 0.0212 seconds