• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 3
  • 1
  • Tagged with
  • 4
  • 3
  • 3
  • 3
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Untersuchungen im System Eisen-Germanium-Selen und Reaktivität im System Kupfer-Selen

Matthiesen, Jörg. Unknown Date (has links) (PDF)
Universiẗat, Diss., 2001--Osnabrück.
2

Unconventional reservoir characterization using real samples based on differential thermal analysis, evaluation of rock parameters, and HC extraction using HP-CO2 aiming reservoir recovery recommendations

Muktadir, A. T. M. Golam 02 March 2022 (has links)
To meet the global hydrocarbon energy demand, it is imperative either to enhance the production from existing fields by applying innovative engineering solutions or discovering new field /resource areas. Both of these options are investigated by petroleum engineers intensively to tackle the challenges of meeting the ever-increasing demand. Meeting the energy demand as, like any other developing country, Jordan is facing a formidable challenge and requires exploration for conventional and unconventional hydrocarbon resources. As Jordan has a long exploration history for conventional reservoirs, Unconventional resource exploration and production seems to be the way to find new energy sources. Different exploration wells were drilled to evaluate the hydrocarbon potential. This research work is focusing on an experimental investigation to evaluate Jordanian hydrocarbon potential as well as to provide recommendations for future exploration activities in shale resources. The Evaluations were performed through comprehensive laboratory experiments that include measurements of Total Organic Content, Grain density, Pore Size Distribution, Specific Surface Area (BET), Mineralogy, Thermogravimetry Analysis, and Rock-Eval pyrolysis. The petrophysical properties (TOC, grain density, pore size distribution) of Jordanian shale (nine different wells) are investigated. The TOC and grain density are in an inversely proportional relationship. The TOC results show a gradual increment with the depth. All the samples have higher porosity dominated by macro pores. Fourteen (14) samples were selected primarily based on TOC (above 1.5%) for further analysis. The specific surface area results show a proportional relationship with the TOC content. Considering the petrophysical properties and mineralogy, these Jordanian shales broadly can be considered as high porosity clay and mudstone type of shale. Thermogravimetry analysis (TG/DTG) results indicate quantitative information related to organic and inorganic matter. Detection of thermos-reactive minerals, especially clay, carbonate, muscovite, pyrite is possible due to the combination of TG/DTG/DSC. The samples are examined under three different procedures which includes different heating programs. The oxidizing and inert atmospheric conditions (procedure i & ii) have the same heating program whereas procedure iii (inert atmospheric condition) has a heating program similar to the Rock-Eval pyrolysis program. The results of these samples show the complex nature of shale as well as organic matter by reacting in different stages (two or, three stages). Depending of the maturity of organic matter, the reaction occurring temperature range varies. Maximum oxidization reaction peaks happen between 479°C to 502°C. The maximum pyrolysis reaction peaks between 498°C to 521°C. Compared with complex heating (procedure iii) and rock Eval pyrolysis, S2 results indicate a high amount of inorganic compounds. Considering TGA reaction peaks and rock Eval pyrolysis results, these Jordanian shales indicate immature with low hydrocarbon generation potential. The Jordanian shale samples are analyzed by using Rock-Eval pyrolysis. Analysis results are used to interpret petroleum potential in rocks. The most important information includes organic matter types (also connected with the depositional settings), organic matter thermal maturity, and the remaining hydrocarbon generation potential in the current form. The organic geochemical analysis results indicate mostly poor to no source rock potential except JF2-760 samples. The hydrogen index (HI) and oxygen index (OI) result suggests that type iii kerogen and type iii/ iv kerogen are most likely from terrestrial and varied settings origin. The low hydrogen, as well as, low S2 value indicate very little hydrocarbon generation potential. Similarly, The Tmax and PI data indicate immature to early mature source rock status and low conversion scenario. Furthermore, the supercritical CO2 is injected into the samples, which is similar to gas flooding experiments to understand the recovery process. Hydrocarbon recovery or, CO2-shale interaction is determined by comparing three different properties (TOC, SSA, and TGA) pre-and-post supercritical CO2 injection. Supercritical CO2 injection in immature shale shows very limited property changes (TOC, SSA, and TGA) to the samples. However, in presence of hydrocarbon the pre-and post-injection property changes TOC, TGA, and SSA (BET) are noticeable enough to conclude HC recovery. Although in the case of immature shale with no hydrocarbon potential the kerogen or bitumen extraction has not been detected, which can be significant in the case of greenhouse gas storage, especially CCUS. This could reduce the risk of Organic Matter (OM) migration possibility in case immature shale formation is present in a suitable geological location.
3

Evaluation of novel metalorganic precursors for atomic layer deposition of Nickel-based thin films / Evaluierung neuartiger metallorganischen Präkursoren für Atomlagenabscheidung von Nickel-basierten Dünnschichten

Sharma, Varun 04 June 2015 (has links) (PDF)
Nickel und Nickel (II) -oxid werden in großem Umfang in fortgeschrittenen elektronischen Geräten verwendet. In der Mikroelektronik-Industrie wird Nickel verwendet werden, um Nickelsilizid bilden. Die Nickelmono Silizid (NiSi) wurde als ausgezeichnetes Material für Source-Drain-Kontaktanwendungen unter 45 nm-CMOS-Technologie entwickelt. Im Vergleich zu anderen Siliziden für die Kontaktanwendungen verwendet wird NiSi wegen seines niedrigen spezifischen Widerstand, niedrigen Kontaktwiderstand, relativ niedrigen Bildungstemperatur und niedrigem Siliziumverbrauchs bevorzugt. Nickel in Nickelbasis-Akkus und ferromagnetischen Direktzugriffsspeicher (RAMs) verwendet. Nickel (II) oxid wird als Transistor-Gate-Oxid und Oxid in resistive RAM genutzt wird. Atomic Layer Deposition (ALD) ist eine spezielle Art der Chemical Vapor Deposition (CVD), das verwendet wird, um sehr glatte sowie homogene Dünnfilme mit hervorragenden Treue auch bei hohen Seitenverhältnissen abzuscheiden. Es basiert auf selbstabschließenden sequentielle Gas-Feststoff-Reaktionen, die eine präzise Steuerung der Filmdicke auf wenige Angström lassen sich auf der Basis. Zur Herstellung der heutigen 3D-elektronische Geräte, sind Technologien wie ALD erforderlich. Trotz der Vielzahl von praktischen Anwendungen von Nickel und Nickel (II) -oxid, sind einige Nickelvorstufen zur thermischen basierend ALD erhältlich. Darüber hinaus haben diese Vorstufen bei schlechten Filmeigenschaften führte und die Prozesseigenschaften wurden ebenfalls begrenzt. Daher in dieser Masterarbeit mussten die Eigenschaften verschiedener neuartiger Nickelvorstufen zu bewerten. Alle neuen Vorstufen heteroleptische (verschiedene Arten von Liganden) und Komplexe wurden vom Hersteller speziell zur thermischen basierend ALD aus reinem Nickel mit H 2 als ein Co-Reaktionsmittel gestaltet. Um die neuartige Vorläufer zu untersuchen, wurde eine neue Methode entwickelt, um kleine Mengen in einer sehr zeitsparend (bis zu 2 g) von Ausgangsstoffen zu testen. Diese Methodologie beinhaltet: TGA / DTA-Kurve analysiert der Vorstufen, thermische Stabilitätstests in dem die Vorläufer (<0,1 g) wurden bei erhöhter Temperatur in einer abgedichteten Umgebung für mehrere Stunden wurde die Abscheidung Experimenten und Film Charakterisierungen erhitzt. Die Abscheidungen wurden mit Hilfe der in situ Quarzmikrowaage überwacht, während die anwendungsbezogenen Filmeigenschaften, wie chemische Zusammensetzung, physikalische Phase, Dicke, Dichte, Härte und Schichtwiderstand wurden mit Hilfe von ex situ Messverfahren untersucht. Vor der Evaluierung neuartiger Nickelvorstufen ein Benchmark ALD-Prozess war vom Referenznickelvorläufer (Ni (AMD)) und Luft als Reaktionspartner entwickelt. Das Hauptziel der Entwicklung und Optimierung von solchen Benchmark-ALD-Prozess war es, Standard-Prozessparameter wie zweite Reaktionspartner Belichtungszeiten, Argonspülung Zeiten, gesamtprozessdruck, beginnend Abscheidungstemperatur und Gasströme zu extrahieren. Diese Standard-Prozessparameter mussten verwendet, um die Prozessentwicklung Aufgabe (das spart Vorläufer Verbrauch) zu verkürzen und die Sublimationstemperatur Optimierung für jede neuartige Vorstufe werden. Die ALD Verhalten wurde in Bezug auf die Wachstumsrate durch Variation des Nickelvorläuferbelichtungszeit, Vorläufer Temperatur und Niederschlagstemperatur überprüft. / Nickel and nickel(II) oxide are widely used in advanced electronic devices . In microelectronic industry, nickel is used to form nickel silicide. The nickel mono-silicide (NiSi) has emerged as an excellent material of choice for source-drain contact applications below 45 nm node CMOS technology. As compared to other silicides used for the contact applications, NiSi is preferred because of its low resistivity, low contact resistance, relatively low formation temperature and low silicon consumption. Nickel is used in nickel-based rechargeable batteries and ferromagnetic random access memories (RAMs). Nickel(II) oxide is utilized as transistor gate-oxide and oxide in resistive RAMs. Atomic Layer Deposition (ALD) is a special type of Chemical Vapor Deposition (CVD) technique, that is used to deposit very smooth as well as homogeneous thin films with excellent conformality even at high aspect ratios. It is based on self-terminating sequential gas-solid reactions that allow a precise control of film thickness down to few Angstroms. In order to fabricate todays 3D electronic devices, technologies like ALD are required. In spite of huge number of practical applications of nickel and nickel(II) oxide, a few nickel precursors are available for thermal based ALD. Moreover, these precursors have resulted in poor film qualities and the process properties were also limited. Therefore in this master thesis, the properties of various novel nickel precursors had to be evaluated. All novel precursors are heteroleptic (different types of ligands) complexes and were specially designed by the manufacturer for thermal based ALD of pure nickel with H 2 as a co-reactant. In order to evaluate the novel precursors, a new methodology was designed to test small amounts (down to 2 g) of precursors in a very time efficient way. This methodology includes: TGA/DTA curve analyses of the precursors, thermal stability tests in which the precursors (< 0.1 g) were heated at elevated temperatures in a sealed environment for several hours, deposition experiments, and film characterizations. The depositions were monitored with the help of in situ quartz crystal microbalance, while application related film properties like chemical composition, physical phase, thickness, density, roughness and sheet resistance were investigated with the help of ex situ measurement techniques. Prior to the evaluation of novel nickel precursors, a benchmark ALD process was developed from the reference nickel precursor (Ni(amd)) and air as a co-reactant. The main goal of developing and optimizing such benchmark ALD process was to extract standard process parameters like second-reactant exposure times, Argon purge times, total process pressure, starting deposition temperature and gas flows. These standard process parameters had to be utilized to shorten the process development task (thus saving precursor consumption) and optimize the sublimation temperature for each novel precursor. The ALD behaviour was checked in terms of growth rate by varying the nickel precursor exposure time, precursor temperature and deposition temperature.
4

Evaluation of novel metalorganic precursors for atomic layer deposition of Nickel-based thin films

Sharma, Varun 17 February 2015 (has links)
Nickel und Nickel (II) -oxid werden in großem Umfang in fortgeschrittenen elektronischen Geräten verwendet. In der Mikroelektronik-Industrie wird Nickel verwendet werden, um Nickelsilizid bilden. Die Nickelmono Silizid (NiSi) wurde als ausgezeichnetes Material für Source-Drain-Kontaktanwendungen unter 45 nm-CMOS-Technologie entwickelt. Im Vergleich zu anderen Siliziden für die Kontaktanwendungen verwendet wird NiSi wegen seines niedrigen spezifischen Widerstand, niedrigen Kontaktwiderstand, relativ niedrigen Bildungstemperatur und niedrigem Siliziumverbrauchs bevorzugt. Nickel in Nickelbasis-Akkus und ferromagnetischen Direktzugriffsspeicher (RAMs) verwendet. Nickel (II) oxid wird als Transistor-Gate-Oxid und Oxid in resistive RAM genutzt wird. Atomic Layer Deposition (ALD) ist eine spezielle Art der Chemical Vapor Deposition (CVD), das verwendet wird, um sehr glatte sowie homogene Dünnfilme mit hervorragenden Treue auch bei hohen Seitenverhältnissen abzuscheiden. Es basiert auf selbstabschließenden sequentielle Gas-Feststoff-Reaktionen, die eine präzise Steuerung der Filmdicke auf wenige Angström lassen sich auf der Basis. Zur Herstellung der heutigen 3D-elektronische Geräte, sind Technologien wie ALD erforderlich. Trotz der Vielzahl von praktischen Anwendungen von Nickel und Nickel (II) -oxid, sind einige Nickelvorstufen zur thermischen basierend ALD erhältlich. Darüber hinaus haben diese Vorstufen bei schlechten Filmeigenschaften führte und die Prozesseigenschaften wurden ebenfalls begrenzt. Daher in dieser Masterarbeit mussten die Eigenschaften verschiedener neuartiger Nickelvorstufen zu bewerten. Alle neuen Vorstufen heteroleptische (verschiedene Arten von Liganden) und Komplexe wurden vom Hersteller speziell zur thermischen basierend ALD aus reinem Nickel mit H 2 als ein Co-Reaktionsmittel gestaltet. Um die neuartige Vorläufer zu untersuchen, wurde eine neue Methode entwickelt, um kleine Mengen in einer sehr zeitsparend (bis zu 2 g) von Ausgangsstoffen zu testen. Diese Methodologie beinhaltet: TGA / DTA-Kurve analysiert der Vorstufen, thermische Stabilitätstests in dem die Vorläufer (<0,1 g) wurden bei erhöhter Temperatur in einer abgedichteten Umgebung für mehrere Stunden wurde die Abscheidung Experimenten und Film Charakterisierungen erhitzt. Die Abscheidungen wurden mit Hilfe der in situ Quarzmikrowaage überwacht, während die anwendungsbezogenen Filmeigenschaften, wie chemische Zusammensetzung, physikalische Phase, Dicke, Dichte, Härte und Schichtwiderstand wurden mit Hilfe von ex situ Messverfahren untersucht. Vor der Evaluierung neuartiger Nickelvorstufen ein Benchmark ALD-Prozess war vom Referenznickelvorläufer (Ni (AMD)) und Luft als Reaktionspartner entwickelt. Das Hauptziel der Entwicklung und Optimierung von solchen Benchmark-ALD-Prozess war es, Standard-Prozessparameter wie zweite Reaktionspartner Belichtungszeiten, Argonspülung Zeiten, gesamtprozessdruck, beginnend Abscheidungstemperatur und Gasströme zu extrahieren. Diese Standard-Prozessparameter mussten verwendet, um die Prozessentwicklung Aufgabe (das spart Vorläufer Verbrauch) zu verkürzen und die Sublimationstemperatur Optimierung für jede neuartige Vorstufe werden. Die ALD Verhalten wurde in Bezug auf die Wachstumsrate durch Variation des Nickelvorläuferbelichtungszeit, Vorläufer Temperatur und Niederschlagstemperatur überprüft.:Lists of Abbreviations and Symbols VIII Lists of Figures and Tables XIV 1 Introduction 1 I Theoretical Part 3 2 Nickel and Nickel Oxides 4 2.1 Introduction and Existence 5 2.2 Material properties of Nickel and Nickel Oxide 5 2.3 Application in electronic industry 5 3 Atomic Layer Deposition 7 3.1 History 8 3.2 Definition 8 3.3 Features of thermal-ALD 8 3.3.1 ALD growth mechanism – an ideal view 8 3.3.2 ALD growth behaviour 10 3.3.3 Growth mode 11 3.3.4 ALD temperature window 11 3.4 Benefits and limitations 12 3.5 Precursor properties for thermal-ALD 13 3.6 ALD & CVD of Nickel – A literature survey 13 4 Metrology 17 4.1 Thermal analysis of precursors 18 4.2 Film and growth characterization 21 4.2.1 Quartz Crystal Microbalance 21 4.2.2 Spectroscopic Ellipsometry 24 4.2.3 X-Ray Photoelectron Spectroscopy 28 4.2.4 Scanning Electron Microscopy 29 4.2.5 X-Ray Reflectometry and X-Ray Diffraction 29 4.2.6 Four Point Probe Technique 20 5 Rapid Thermal Processing 32 5.1 Introduction 33 5.2 Basics of RTP 33 5.3 Nickel Silicides-A literature survey 33 II Experimental Part 36 6 Methodologies 37 6.1 Experimental setup 38 6.2 ALD process 41 6.2.1 ALD process types and substrate setups 41 6.2.2 Process parameters 41 6.3 Experimental procedure 42 6.3.1 Tool preparation 42 6.3.2 Thermal analysis and ALD experiments from nickel precursors 43 6.3.3 Data acquisition and evaluation 44 6.3.4 Characterization of film properties 46 7 Results and discussion 48 7.1 Introduction 49 7.2 QCM verification with Aluminum Oxide ALD process 49 7.3 ALD process from the reference precursor 50 7.3.1 Introduction 50 7.3.2 TG analysis for Ni(amd) precursor 51 7.3.3 Thermal stability test for Ni(amd) 51 7.3.4 ALD process optimization 52 7.3.5 Film properties 54 7.4 Evaluating the novel Nickel precursors 55 7.4.1 Screening tests for precursor P1 55 7.4.2 Screening tests for precursor P2 62 7.4.3 Screening tests for precursor P3 66 7.4.4 Screening tests for precursor P4 70 7.4.5 Screening tests for precursor P5 72 7.5 Comparison of all nickel precursors used in this work 74 8 Conclusions and outlook 77 References 83 III Appendix 101 A Deposition temperature control & Ellipsometry model 102 B Gas flow plan 105 / Nickel and nickel(II) oxide are widely used in advanced electronic devices . In microelectronic industry, nickel is used to form nickel silicide. The nickel mono-silicide (NiSi) has emerged as an excellent material of choice for source-drain contact applications below 45 nm node CMOS technology. As compared to other silicides used for the contact applications, NiSi is preferred because of its low resistivity, low contact resistance, relatively low formation temperature and low silicon consumption. Nickel is used in nickel-based rechargeable batteries and ferromagnetic random access memories (RAMs). Nickel(II) oxide is utilized as transistor gate-oxide and oxide in resistive RAMs. Atomic Layer Deposition (ALD) is a special type of Chemical Vapor Deposition (CVD) technique, that is used to deposit very smooth as well as homogeneous thin films with excellent conformality even at high aspect ratios. It is based on self-terminating sequential gas-solid reactions that allow a precise control of film thickness down to few Angstroms. In order to fabricate todays 3D electronic devices, technologies like ALD are required. In spite of huge number of practical applications of nickel and nickel(II) oxide, a few nickel precursors are available for thermal based ALD. Moreover, these precursors have resulted in poor film qualities and the process properties were also limited. Therefore in this master thesis, the properties of various novel nickel precursors had to be evaluated. All novel precursors are heteroleptic (different types of ligands) complexes and were specially designed by the manufacturer for thermal based ALD of pure nickel with H 2 as a co-reactant. In order to evaluate the novel precursors, a new methodology was designed to test small amounts (down to 2 g) of precursors in a very time efficient way. This methodology includes: TGA/DTA curve analyses of the precursors, thermal stability tests in which the precursors (< 0.1 g) were heated at elevated temperatures in a sealed environment for several hours, deposition experiments, and film characterizations. The depositions were monitored with the help of in situ quartz crystal microbalance, while application related film properties like chemical composition, physical phase, thickness, density, roughness and sheet resistance were investigated with the help of ex situ measurement techniques. Prior to the evaluation of novel nickel precursors, a benchmark ALD process was developed from the reference nickel precursor (Ni(amd)) and air as a co-reactant. The main goal of developing and optimizing such benchmark ALD process was to extract standard process parameters like second-reactant exposure times, Argon purge times, total process pressure, starting deposition temperature and gas flows. These standard process parameters had to be utilized to shorten the process development task (thus saving precursor consumption) and optimize the sublimation temperature for each novel precursor. The ALD behaviour was checked in terms of growth rate by varying the nickel precursor exposure time, precursor temperature and deposition temperature.:Lists of Abbreviations and Symbols VIII Lists of Figures and Tables XIV 1 Introduction 1 I Theoretical Part 3 2 Nickel and Nickel Oxides 4 2.1 Introduction and Existence 5 2.2 Material properties of Nickel and Nickel Oxide 5 2.3 Application in electronic industry 5 3 Atomic Layer Deposition 7 3.1 History 8 3.2 Definition 8 3.3 Features of thermal-ALD 8 3.3.1 ALD growth mechanism – an ideal view 8 3.3.2 ALD growth behaviour 10 3.3.3 Growth mode 11 3.3.4 ALD temperature window 11 3.4 Benefits and limitations 12 3.5 Precursor properties for thermal-ALD 13 3.6 ALD & CVD of Nickel – A literature survey 13 4 Metrology 17 4.1 Thermal analysis of precursors 18 4.2 Film and growth characterization 21 4.2.1 Quartz Crystal Microbalance 21 4.2.2 Spectroscopic Ellipsometry 24 4.2.3 X-Ray Photoelectron Spectroscopy 28 4.2.4 Scanning Electron Microscopy 29 4.2.5 X-Ray Reflectometry and X-Ray Diffraction 29 4.2.6 Four Point Probe Technique 20 5 Rapid Thermal Processing 32 5.1 Introduction 33 5.2 Basics of RTP 33 5.3 Nickel Silicides-A literature survey 33 II Experimental Part 36 6 Methodologies 37 6.1 Experimental setup 38 6.2 ALD process 41 6.2.1 ALD process types and substrate setups 41 6.2.2 Process parameters 41 6.3 Experimental procedure 42 6.3.1 Tool preparation 42 6.3.2 Thermal analysis and ALD experiments from nickel precursors 43 6.3.3 Data acquisition and evaluation 44 6.3.4 Characterization of film properties 46 7 Results and discussion 48 7.1 Introduction 49 7.2 QCM verification with Aluminum Oxide ALD process 49 7.3 ALD process from the reference precursor 50 7.3.1 Introduction 50 7.3.2 TG analysis for Ni(amd) precursor 51 7.3.3 Thermal stability test for Ni(amd) 51 7.3.4 ALD process optimization 52 7.3.5 Film properties 54 7.4 Evaluating the novel Nickel precursors 55 7.4.1 Screening tests for precursor P1 55 7.4.2 Screening tests for precursor P2 62 7.4.3 Screening tests for precursor P3 66 7.4.4 Screening tests for precursor P4 70 7.4.5 Screening tests for precursor P5 72 7.5 Comparison of all nickel precursors used in this work 74 8 Conclusions and outlook 77 References 83 III Appendix 101 A Deposition temperature control & Ellipsometry model 102 B Gas flow plan 105

Page generated in 0.0966 seconds