• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 4
  • 2
  • Tagged with
  • 7
  • 7
  • 6
  • 3
  • 3
  • 3
  • 3
  • 3
  • 3
  • 3
  • 3
  • 2
  • 2
  • 2
  • 2
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Use of Formulations Based On Choline Chloride-Malonic Acid Deep Eutectic Solvent for Back End of Line Cleaning in Integrated Circuit Fabrication

Taubert, Jenny January 2013 (has links)
Interconnection layers fabricated during back end of line processing in semiconductor manufacturing involve dry etching of a low-k material and deposition of copper and metal barriers to create copper/dielectric stacks. After plasma etching steps used to form the trenches and vias in the dielectric, post etch residues (PER) that consist of organic polymer, metal oxides and fluorides, form on top of copper and low-k dielectric sidewalls. Currently, most semiconductor companies use semi aqueous fluoride (SAF) based formulations containing organic solvent(s) for PER removal. Unfortunately, these formulations adversely impact the environmental health and safety (EHS) requirements of the semiconductor industry. Environmentally friendly "green" formulations, free of organic solvents, are preferred as alternatives to remove PER. In this work, a novel low temperature molten salt system, referred as deep eutectic solvent (DES) has been explored as a back end of line cleaning (BEOL) formulation. Specifically, the DES system comprised of two benign chemicals, malonic acid (MA) and choline chloride (CC), is a liquid at room temperature. In certain cases, the formulation was modified by the addition of glacial acetic acid (HAc). Using these formulations, selective removal of three types of PER generated by timed CF₄/O₂ etching of DUV PR films on Cu was achieved. Type I PER was mostly organic in character (fluorocarbon polymer type) and had a measured thickness of 160 nm. Type II PER was much thinner (25 nm) and consisted of a mixture of organic and inorganic compounds (copper fluorides). Further etching generated 17 nm thick Type III PER composed of copper fluorides and oxides. Experiments were also conducted on patterned structures. Cleaning was performed by immersing samples in a temperature controlled (30 or 40° C) double jacketed vessel for a time between 1 and 5 minutes. Effectiveness of cleaning was characterized using SEM, XPS and single frequency impedance measurements. Type II and III residues, which contained copper compounds were removed in CC/MA DES within five minutes through dissolution and subsequent complexation of copper by malonic acid. Removal of Type I PER required the addition of glacial acetic acid to the DES formulation. Single frequency impedance measurement appears to be a good in situ method to follow the removal of the residues. High water solubility of the components of the system in conjunction with their environmental friendly nature, make the DES an attractive alternative to SAF.
2

Fluorocarbon Post-Etch Residue Removal Using Radical Anion Chemistry

Timmons, Christopher L. 14 December 2004 (has links)
During fabrication of integrated circuits, fluorocarbon plasma etching is used to pattern dielectric layers. As a byproduct of the process, a fluorocarbon residue is deposited on exposed surfaces and must be removed for subsequent processing. Conventional fluorocarbon cleaning processes typically include at least one plasma or liquid treatment that is oxidative in nature. Oxidative chemistries, however, cause material degradation to next generation low-dielectric constant (low-k) materials that are currently being implemented into fabrication processes. This work addresses the need for alternative fluorocarbon-residue removal chemistries that are compatible with next generation low-k materials. Radical anion chemistries are known for their ability to defluorinate fluorocarbon materials by a reductive mechanism. Naphthalene radical anion solutions, generated using sodium metal, are used to establish cleaning effectiveness with planar model residue films. The penetration rate of the defluorination reaction into model fluorocarbon film residues is measured and modeled. Because sodium is incompatible with integrated circuit processing, naphthalene radical anions are alternatively generated using electrochemical techniques. Using electrochemically-generated radical anions, residue removal from industrially patterned etch structures is used to evaluate the process cleaning efficiency. Optimization of the radical anion concentration and exposure time is important for effective residue removal. The efficiency of removal also depends on the feature spacing and the electrochemical solvent chosen. The synergistic combination of radical anion defluorination and wetting or swelling of the residue by the solvent is necessary for complete removal. In order to understand the interaction between the solvent and the residue, the surface and interfacial energy are determined using an Owens/Wendt analysis. These studies reveal chemical similarities between specific solvents and the model residue films. This approach can also be used to predict residue or film swelling by interaction with chemically similar solvents.
3

Role of carbon dioxide in gas expanded liquids for removal of photoresist and etch residue

Song, Ingu 08 October 2007 (has links)
Progress in the microelectronics industry is driven by smaller and faster transistors. As feature sizes in integrated circuits become smaller and liquid chemical waste becomes an even greater environmental concern, gas expanded liquids (GXLs) may provide a possible solution to future device fabrication limitations relative to the use of liquids. The properties of GXLs such as surface tension can be tuned by the inclusion of high pressure gases; thereby, the reduced surface tension will allow penetration of cleaning solutions into small features on the nanometer scale. In addition, the inclusion of the gas decreases the amount of liquid necessary for the photoresist and etch residue removal processes. This thesis explores the role of CO2-based GXLs for photoresist and etch residue removal. The gas used for expansion is CO2 while the liquid used is methanol. The cosolvent serving as the removal agent is tetramethyl ammonium hydroxide (TMAH) which upon reacting with CO2 becomes predominantly tetramethyl ammonium bicarbonate (TMAB).
4

USE OF DILUTE HYDROFLUORIC ACID AND DEEP EUTECTIC SOLVENT SYSTEMS FOR BACK END OF LINE CLEANING IN INTEGRATED CIRCUIT FABRICATION

Padmanabhan Ramalekshmi Thanu, Dinesh January 2011 (has links)
Fabrication of current generation integrated circuits involves the creation of multilevel copper/low-k dielectric structures during the back end of line processing. This is done by plasma etching of low-k dielectric layers to form vias and trenches, and this process typically leaves behind polymer-like post etch residues (PER) containing copper oxides, copper fluorides and fluoro carbons, on underlying copper and sidewalls of low-k dielectrics. Effective removal of PER is crucial for achieving good adhesion and low contact resistance in the interconnect structure, and this is accomplished using wet cleaning and rinsing steps. Currently, the removal of PER is carried out using semi-aqueous fluoride based formulations. To reduce the environmental burden and meet the semiconductor industry's environmental health and safety requirements, there is a desire to completely eliminate solvents in the cleaning formulations and explore the use of organic solvent-free formulations.The main objective of this work is to investigate the selective removal of PER over copper and low-k (Coral and Black Diamond®) dielectrics using all-aqueous dilute HF (DHF) solutions and choline chloride (CC) - urea (U) based deep eutectic solvent (DES) system. Initial investigations were performed on plasma oxidized copper films. Copper oxide and copper fluoride based PER films representative of etch products were prepared by ashing g-line and deep UV photoresist films coated on copper in CF4/O2 plasma. PER removal process was characterized using scanning electron microscopy and X-ray photoelectron spectroscopy and verified using electrochemical impedance spectroscopy measurements.A PER removal rate of ~60 Å/min was obtained using a 0.2 vol% HF (pH 2.8). Deaeration of DHF solutions improved the selectivity of PER over Cu mainly due to reduced Cu removal rate. A PER/Cu selectivity of ~20:1 was observed in a 0.05 vol% deaerated HF (pH 3). DES systems containing 2:1 U/CC removed PER at a rate of ~10 and ~20 Å/min at 40 and 70oC respectively. A mixture of 10-90 vol% de-ionized water (W) with 2:1 U/CC in the temperature range of 20 to 40oC also effectively removed PER. Importantly, etch rate of copper and low-k dielectric in DES formulations were lower than that in conventional DHF cleaning solutions.
5

Post Plasma Etch Residue Removal Using Carbon Dioxide Based Fluids

Myneni, Satyanarayana 06 November 2004 (has links)
As feature sizes in semiconductor devices become smaller and newer materials are incorporated, current methods for photoresist and post plasma etch residue removal face several challenges. A cleaning process should be environmentally benign, compatible with dielectric materials and copper, and provide residue removal from narrow and high aspect ratio features. In this work, sub-critical CO2 based mixtures have been developed to remove the etch residues; these mixtures satisfy the above requirements and can potentially replace the two step residue removal process currently used in the integrated circuit (IC) industry. Based on the chemical nature of the residue being removed, additives or co-solvents to CO2 have been identified that can remove the residues without damaging the dielectric layers. Using the phase behavior of these additives as a guide, the composition of the co-solvent was altered to achieve a single liquid phase at moderate pressures without compromising cleaning ability. The extent of residue removal has been analyzed primarily by x-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM). Various techniques such as attenuated total reflection - Fourier transform infrared (ATR-FTIR) spectroscopy, angle-resolved XPS (ARXPS), and interferometry were used to probe the interaction of cleaning fluids with residues. Model films of photoresists and plasma deposited residues were used to assist in understanding the mechanism of residue removal. From these studies, it was concluded that residue removal takes place primarily by attack of the interface between the residue and the substrate; a solvent rinse then lifts these residues from the wafer. It has been shown that transport of the additives to the interface is enhanced in the presence of CO2. From positronium annihilation lifetime spectroscopy (PALS) studies on a porous dielectric film, it has been shown that these high pressure fluids do not cause significant changes to the pore sizes or the bonding structure of the film. Hence, this method can be used to remove post etch residues from low-k dielectric films.
6

Wetting Optimized Solutions for Plasma Etch Residue Removal for Application in Interconnect Systems of Integrated Circuits / Benetzungsoptimierte Reinigungslösungen für die Entfernung von Plasmaätzresiduen für die Anwendung im Verdrahtungssystem integrierter Schaltungen

Ahner, Nicole 28 March 2013 (has links) (PDF)
In multi-level Co/low-k based interconnect systems of ultralarge-scale integrated electronic devices the removal of plasma etch residues by common plasma cleaning processes has been shown to alter material properties like k-value and leakage current of the low-k dielectric. Besides the development of less damaging plasma processes their substitution by wet cleaning steps is in the focus of research and development. With further decreasing feature dimensions the development of wet cleaning processes has to include wetting issues like the non-wetting of small features due to the surface energy of the liquid or pattern collapse effects of low-k dielectric trenches due to high capillary forces This work at first focuses on the determination of the surface energetic character of common cleaning solutions for PERR and differently etched or ashed low-k dielectric surfaces by contact angle analysis, to outline which combinations of solid and liquid will be critical regarding their wetting behavior. Besides the determination of the wetting behavior the contact angle analysis turned out to be a fast and sensible analytic tool to understand the surface modifications introduced by different plasma processes and can help to understand the mechanisms of plasma damage of low-k dielectric surfaces. The analysis showed that especially polymerizing plasma etch processes produce a low-energetic low-k dielectric surface with a negligible polar energy contributions, which inhibits their wetting by high energetic water based cleaning solutions, which actually are favored by semiconductor manufacturers. The strategy to overcome these wetting issues followed in the present work is the reduction of the surface energy of the cleaning liquids by the application of surfactants. Several types of surfactants have been applied to the cleaning liquids and the compatibility of the surfactant solutions to BEOL materials like low-k dielectrics, copper and diffusion barriers as well as their dynamic behavior has been studied. The analysis showed that choosing the appropriate rinsing solution after the cleaning process is essential to ensure its compatibility to porous low-k dielectrics. Optical, electrical and structural data indicated that DIW rinse in most of the cases was not able to remove residual surfactant species within the material, while for an IPA rinse most of the residual surfactants have been removed. Considering the data received for compatibility to low-k materials, copper and barriers, the dynamic behavior of the surfactant solutions as well as influences of increased bath temperature and long term stability a general advice about surfactant selection and processing of surfactant aided solutions within BEOL is given. / In mehrlagigen Kupfer/low-k basierten Metallisierungssystemen hochintegrierter elektronischer Bauelemente kann die Entfernung von Residuen nach der Plasmastrukturierung des Dielektrikums mittels herkömmlicher Plasmareinigungsprozesse zur Schädigung der Isolatorschicht und damit zum Ansteigen der relativen Dielektrizitätszahl sowie der Leckströme führen. Neben der Entwicklung schädigungsarmer Plasmaprozesse stellt der Ersatz dieser Prozesse durch Nassreinigungsschritte zur Ätzresiduenentfernung eine vielversprechende Alternative dar. Mit stetig abnehmenden Strukturabmaßen ist bei der Entwicklung dieser Nassreinigungsprozesse neben der Materialkompatibilität auch das Benetzungsverhalten der Reinigungsflüssigkeit von entscheidender Bedeutung, da die Oberflächenenergie der Reinigungslösung das Eindringen dieser in kleinste Strukturen verhindern und es durch hohe Kapillarkräfte zum Kollaps von Grabenstrukturen im Dielektrikum kommen kann. In der vorliegenden Arbeit wurde zunächst mittels Kontaktwinkelanalyse die Oberflächenenergie verschieden prozessierter low-k Dielektrikaschichten sowie herkömmlicher Lösungen zur Entfernung von Ätzresiduen untersucht, um hinsichtlich ihres Benetzungsverhaltens besonders kritische Materialkombinationen aufzuzeigen. Neben der Bestimmung des Benetzungsverhaltens hat sich die Kontaktwinkelanalyse zur Oberflächenenergieberechnung als schnelle und empfindliche Methode zur Analyse der Auswirkung von Plasmaprozessen auf die Oberfläche von low-k Dielektrika erwiesen. Die Untersuchungen haben gezeigt, dass besonders polymerisierende Plasmaprozesse eine niederenergetische Oberfläche erzeugen, welche von den derzeit in der Halbleiterfertigung bevorzugten hochenergetischen wasserbasierten Reinigungslösungen nur schlecht benetzt wird. Um diesem Effekt entgegenzuwirken wurde in der vorliegenden Arbeit die Senkung der Oberflächenenergie der Reinigungslösungen durch Zugabe von Tensiden untersucht. Es wurden mehrere Tenside unterschiedlichen Typs den Reinigungsflüssigkeiten zugemischt und die Kompatibilität dieser Lösungen mit low-k Dielektrika, Kupferschichten und Diffusionsbarrieren untersucht sowie ihr dynamisches Verhalten analysiert. Dabei hat sich gezeigt, dass die Auswahl der geeigneten Spüllösung nach dem eigentlichen Reinigungsprozess von entscheidender Bedeutung ist. Optische, elektrische sowie strukturelle Daten deuten darauf hin, dass bei Verwendung einer Spülung mit deionisiertem Wasser in den meisten Fällen Tensidrückstände im porösen Dielektrikum verbleiben. Eine Spülung mit Isopropanol war hingegen in der Lage, einen Großteil dieser Tensidrückstände zu entfernen. Unter Einbeziehung der Daten zur Materialkompatibilität und dem dynamischen Verhalten der Tensidlösungen bei Raumtemperatur und erhöhter Badtemperatur sowie ihrer Langzeitstabilität konnte schließlich eine Prozessempfehlung für die Verwendung der benetzungsoptimierten Reinigungslösungen in der BEOL-Prozessierung gefunden werden.
7

Wetting Optimized Solutions for Plasma Etch Residue Removal for Application in Interconnect Systems of Integrated Circuits: Benetzungsoptimierte Reinigungslösungen für die Entfernung von Plasmaätzresiduen für die Anwendung im Verdrahtungssystem integrierter Schaltungen

Ahner, Nicole 04 April 2012 (has links)
In multi-level Co/low-k based interconnect systems of ultralarge-scale integrated electronic devices the removal of plasma etch residues by common plasma cleaning processes has been shown to alter material properties like k-value and leakage current of the low-k dielectric. Besides the development of less damaging plasma processes their substitution by wet cleaning steps is in the focus of research and development. With further decreasing feature dimensions the development of wet cleaning processes has to include wetting issues like the non-wetting of small features due to the surface energy of the liquid or pattern collapse effects of low-k dielectric trenches due to high capillary forces This work at first focuses on the determination of the surface energetic character of common cleaning solutions for PERR and differently etched or ashed low-k dielectric surfaces by contact angle analysis, to outline which combinations of solid and liquid will be critical regarding their wetting behavior. Besides the determination of the wetting behavior the contact angle analysis turned out to be a fast and sensible analytic tool to understand the surface modifications introduced by different plasma processes and can help to understand the mechanisms of plasma damage of low-k dielectric surfaces. The analysis showed that especially polymerizing plasma etch processes produce a low-energetic low-k dielectric surface with a negligible polar energy contributions, which inhibits their wetting by high energetic water based cleaning solutions, which actually are favored by semiconductor manufacturers. The strategy to overcome these wetting issues followed in the present work is the reduction of the surface energy of the cleaning liquids by the application of surfactants. Several types of surfactants have been applied to the cleaning liquids and the compatibility of the surfactant solutions to BEOL materials like low-k dielectrics, copper and diffusion barriers as well as their dynamic behavior has been studied. The analysis showed that choosing the appropriate rinsing solution after the cleaning process is essential to ensure its compatibility to porous low-k dielectrics. Optical, electrical and structural data indicated that DIW rinse in most of the cases was not able to remove residual surfactant species within the material, while for an IPA rinse most of the residual surfactants have been removed. Considering the data received for compatibility to low-k materials, copper and barriers, the dynamic behavior of the surfactant solutions as well as influences of increased bath temperature and long term stability a general advice about surfactant selection and processing of surfactant aided solutions within BEOL is given. / In mehrlagigen Kupfer/low-k basierten Metallisierungssystemen hochintegrierter elektronischer Bauelemente kann die Entfernung von Residuen nach der Plasmastrukturierung des Dielektrikums mittels herkömmlicher Plasmareinigungsprozesse zur Schädigung der Isolatorschicht und damit zum Ansteigen der relativen Dielektrizitätszahl sowie der Leckströme führen. Neben der Entwicklung schädigungsarmer Plasmaprozesse stellt der Ersatz dieser Prozesse durch Nassreinigungsschritte zur Ätzresiduenentfernung eine vielversprechende Alternative dar. Mit stetig abnehmenden Strukturabmaßen ist bei der Entwicklung dieser Nassreinigungsprozesse neben der Materialkompatibilität auch das Benetzungsverhalten der Reinigungsflüssigkeit von entscheidender Bedeutung, da die Oberflächenenergie der Reinigungslösung das Eindringen dieser in kleinste Strukturen verhindern und es durch hohe Kapillarkräfte zum Kollaps von Grabenstrukturen im Dielektrikum kommen kann. In der vorliegenden Arbeit wurde zunächst mittels Kontaktwinkelanalyse die Oberflächenenergie verschieden prozessierter low-k Dielektrikaschichten sowie herkömmlicher Lösungen zur Entfernung von Ätzresiduen untersucht, um hinsichtlich ihres Benetzungsverhaltens besonders kritische Materialkombinationen aufzuzeigen. Neben der Bestimmung des Benetzungsverhaltens hat sich die Kontaktwinkelanalyse zur Oberflächenenergieberechnung als schnelle und empfindliche Methode zur Analyse der Auswirkung von Plasmaprozessen auf die Oberfläche von low-k Dielektrika erwiesen. Die Untersuchungen haben gezeigt, dass besonders polymerisierende Plasmaprozesse eine niederenergetische Oberfläche erzeugen, welche von den derzeit in der Halbleiterfertigung bevorzugten hochenergetischen wasserbasierten Reinigungslösungen nur schlecht benetzt wird. Um diesem Effekt entgegenzuwirken wurde in der vorliegenden Arbeit die Senkung der Oberflächenenergie der Reinigungslösungen durch Zugabe von Tensiden untersucht. Es wurden mehrere Tenside unterschiedlichen Typs den Reinigungsflüssigkeiten zugemischt und die Kompatibilität dieser Lösungen mit low-k Dielektrika, Kupferschichten und Diffusionsbarrieren untersucht sowie ihr dynamisches Verhalten analysiert. Dabei hat sich gezeigt, dass die Auswahl der geeigneten Spüllösung nach dem eigentlichen Reinigungsprozess von entscheidender Bedeutung ist. Optische, elektrische sowie strukturelle Daten deuten darauf hin, dass bei Verwendung einer Spülung mit deionisiertem Wasser in den meisten Fällen Tensidrückstände im porösen Dielektrikum verbleiben. Eine Spülung mit Isopropanol war hingegen in der Lage, einen Großteil dieser Tensidrückstände zu entfernen. Unter Einbeziehung der Daten zur Materialkompatibilität und dem dynamischen Verhalten der Tensidlösungen bei Raumtemperatur und erhöhter Badtemperatur sowie ihrer Langzeitstabilität konnte schließlich eine Prozessempfehlung für die Verwendung der benetzungsoptimierten Reinigungslösungen in der BEOL-Prozessierung gefunden werden.

Page generated in 0.0543 seconds