• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 40
  • 14
  • 7
  • 3
  • 2
  • 2
  • 2
  • 1
  • Tagged with
  • 76
  • 76
  • 24
  • 20
  • 17
  • 15
  • 14
  • 14
  • 11
  • 10
  • 10
  • 10
  • 10
  • 10
  • 9
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Study On Integration of Porous Low Dielectric Constant Material

Chen, Chi-Wen 04 July 2001 (has links)
As IC technology moves into the deep submicrometer regime in high performance ULSI circuits, it is required to decrease the metal pitch and to increase the number of metal layers for interconnect to accommodate the increased packing density and functional complexity. But the signal propagation delay in the interconnect becomes an appreciable fraction of the total time delay. Use of low dielectric constant material (low-k) as the intermetal dielectric (IMD) results in low inter-line capacitance and therefore high performance in speed, low power dissipation, and low cross-talk noise. During various low dielectric materials, porous silica film is one of the possible candidates with an inherent low dielectric constant. In this thesis, the intrinsic properties such as fundamental physical, electrical, thermal stability of the spin on glass (SOG) have been investigated. We also study the properties of the SOG film with various plasma and treatments. An inherent low dielectric constant of 1.9 is achieved for high porosity of the porous silica film and the leakage current density keep at a level of ~10-9 A/cm2 at 1MV/cm electric field strength. After O2 plasma treatment, the Si-C and C-H bonds of the film are almost eliminated, thus the dielectric constant and leakage current are rapid increase than that of those untreated films. We find that H2 plasma can passivate the porous silica surface so that the leakage current can be kept at acceptable range, however, the dielectric constant increase slightly. Moreover, the etching issues were also investigated in this study. The mask undercutting on sidewall was observed due to the spontaneous reaction between the porous silca film and fluorocarnbon plasma. Therefore, H2 plasma treatment was provided to suppress this phenomenon. In this study, the intrinsic properties such as fundamental physical, electrical, and thermal properties of the porous silica have been investigated. The compatibility of the porous silica with integration processes also has been studied comprehensively. We have proposed H2 plasma treatments to improve the dielectric properties of porous silica after photoresist removal. Material and electrical analyses were used to interpret these improvements.
2

90nm Cu/Low-K Phase ¡VIn and assembly process capability analysis

Hou, Chih-kun 30 July 2007 (has links)
Copper interconnects and low k dielectrics have been introduced in advanced IC technology to reduce the interconnect resistance, improve the resistance to electromigration and reduce RC delay and cross talk effects. The introduction of new materials in integrated circuits makes the root cause determination and correction action implementation more challenging. Moreover, the complexity of package structure generates additional impact on degrading the yield of assembly processing manufacture. This main purpose of this study is to investigate the influence of introducing Cu-/Low K wafer phase on actual manufacturing situation. Issues related to the failures of assembly process were analyzed for determining the root cause, in which such as die chipping issue during die sawing process, bond pad peeling/crater issues during wire bonding process and die crack / delamination issues after pre-condition and reliability test. The DOE/JMP methodology was used to achieve the optimium assembly processing condition so as to improve the quality of products, and then the mass production with stable yield could be realized.
3

X-ray Exposure on Low Dielectric Constant Materials

Lin, Zen-Kuan 04 July 2001 (has links)
Abstract As integrated circuit dimensions continue to shrink, interconnect RC delay becomes an increasingly serious problem. Fabrication of interconnect structures using new materials of low resistivity and low permittivity to replace the traditional Al and SiO2 interconnect technology is in high demand. Specially, copper and low dielectric constant (low-k) polymers show great promise. Among various low-k materials, spin-on glass (SOG) materials have been widely used as an interlayer dielectric in multilevel interconnections because they are applied easily and have relatively low process costs. One class of materials, which offers many of properties of silica (SiO2) hardness, thermal and dimensional stability etc.) are the HOSP (Hybrid Organic-Siloxane-Polymer)and HSQ (Hydrogen Silsesquioxane) represent an important member of this family. HOSP and HSQ exhibits a relatively low dielectric constant (k=2.6-2.8) as compared to SiO2 (k=4.0).It is intrinsically hydrophobic, has reasonable mechanical hardness, and possesses exceptional thermal and dimensional stability (in excess of 400¢J). For these reasons, HOSP and HSQ represent an excellent candidate for applications on the multilevel interconnect architecture. On the other hand, etching and PR removal are key technology during the manufactures of multilevel interconnects. X-ray lithography process is adopted to avoid these issues. As a result, a novel X-ray lithography technology for the low-k interlayer has been proposed for fabrication of IC. And it is a low cost process. In this work, the characteristics of PR removal have been investigated. Experimental results have shown that the dielectric properties of HOSP and HSQ are degraded by PR removal process. The X-ray exposure will solve these problems and it will be a useful tool in advance ICs fabrication. The advantage of the X-ray exposure is the direct patterning, avoids the issues during the etching and photoresist striping processes. The part of film exposed by X-ray will be cured and the other part could be dissolved with the solvent. Strictly speaking, these two issues will be overcome by the X-ray curing.
4

Plasma damaging process of porous ultra-low-k dielectrics and dielectric repair

Huang, Huai, Ph. D. 28 September 2012 (has links)
The Ultra-low-k material is required to reduce the RC time delay in the integrated circuits. However, the integration of the porous low-k material into the on-chip interconnects was impeded by the plasma induced damage during etching and photoresist stripping processes. This dissertation aims to study the mechanism of plasma damage to porous ultra-low-k dielectrics with the objective to minimize the damage and to develop methods and processes to restore the low-k dielectric after the plasma damage. First, the plasma etching induced surface roughening was studied on blanket porous SiCOH films in the fluorocarbon based plasma. Substantial surface roughening was found in the low polymerization region, where the surface roughening process was initiated by the unevenly distribution of surface fluorocarbon polymers in the pore structure and enhanced by ion induced surface densification. With oxygen addition, the surface densification layer increased the radial diffusion rate difference between the top and the bottom of the pits, resulting in further increase of the surface roughness. The best process optimization was found at a "threshold point" where the surface polymerization level is just high enough to suppress the roughness initiation. The second part of this dissertation investigates the mechanism of the oxygen plasma damaging process. The roles of plasma constituents (i.e. ions, radicals and photons with different wavelengths) were differentiated by an on-wafer filter system. Oxygen radical was identified as the most critical and its damage effect was enhanced by photons with wavelength smaller than 185nm. The oxygen radical kinetics in the porous structure of low-k, including diffusion, reaction and recombination, was described analytically with a plasma altered layer model and then simulated with a Monte Carlo computational method, which give guidelines to minimize the damage. The analytical model of oxygen radical kinetic process is also used to investigate the oxygen plasma damage to patterned low-k structure, which is confirmed by experiments. Finally, the dielectric recovery was studied using silylation and UV broadband thermal treatment, both individually and in combination. After both vapor and supercritical CO₂ silylation, surface carbon and hydrophobicity were partially recovered. However, the recovery effect was limited to the surface. In comparison, UV treatment can effectively remove water from the bulk of the damaged film and consolidate the silanol bonds with the help of thermal activation. The combination of UV and silylation treatments is more effectively for dielectric recovery than UV or silylation alone. The "UV first" treatment provided a better recovery in sequential processes. Under the same conditions, simultaneous treatments by silylation and UV irradiation achieved better bulk and surface recovery than the sequential process. / text
5

Supercritical Silylation and Stability of Silyl Groups

Nerusu, Pawan Kumar 05 1900 (has links)
Methylsilsesquioxane (MSQ) and organosilicate glass (OSG) are the materials under this study because they exhibit the dielectric constant values necessary for future IC technology requirements. Obtaining a low-k dielectric value is critical for the IC industry in order to cope time delay and cross talking issues. These materials exhibit attractive dielectric value, but there are problems replacing conventional SiO2, because of their chemical, mechanical and electrical instability after plasma processing. Several techniques have been suggested to mitigate process damage but supercritical silylation offers a rapid single repair step solution to this problem. Different ash and etch damaged samples were employed in this study to optimize an effective method to repair the low-k dielectric material and seal the surface pores via supercritical fluid processing with various trialkylchlorosilanes. Fourier transform infrared spectroscopy (FTIR), contact angle, capacitance- voltage measurements, and x-ray photoemission spectroscopy, dynamic secondary ion mass spectroscopy (DSIMS), characterized the films. The hydrophobicity and dielectric constant after exposure to elevated temperatures and ambient conditions were monitored and shown to be stable. The samples were treated with a series of silylating agents of the form R3-Si-Cl where R is an alkyl groups (e.g. ethyl, propyl, isopropyl). Reactivity with the surface hydroxyls was inversely proportional to the length of the alkyl group, perhaps due to steric effects. Contact angle measurements revealed that heating the films in ambient diminished hydrophobicity. Depth and surface profiling using (DSIMS) and (XPS) were utilized to develop a model for surface coverage.
6

Interaction of Molecular Contaminants with Low-k Dielectric Films and Metal Surfaces

Iqbal, Asad January 2007 (has links)
Ultra low-k dielectric films are expected to widely replace SiO2 as the interlayer dielectric for the next-generation microelectronic devices. A challenge facing the integration of these dielectrics in manufacturing is their interactions with gaseous contaminants, such as moisture and isopropanol, and the resulting change in their properties. Moisture retained in the film not only has detrimental effect on the k value of the film but also causes reliability and adhesion problems due to gradual outgassing. The physical and chemical interactions of moisture with porous spin-on and chemical vapor deposited (CVD) dielectrics are investigated using temperature- and concentration-programmed exposure and purge sequence together with trace moisture analysis, using atmospheric pressure ionization mass spectrometry.The model compounds in this study are porous Methylsilsesquioxane and Black Diamond II films, deposited and treated under typical manufacturing conditions. Transmission Electron Microscope (TEM) studies showed that etching and ashing processes resulted in the formation of two layers, a damaged layer and non-damaged layer, which significantly changed moisture interaction properties.Moisture sorption and desorption studies showed that as compared to SiO2 these films not only have a higher uptake capacity but also a slower and more activated moisture removal process. This could be a significant problem in successful integration of these films in IC manufacturing process.A process model was developed that provided information on the mechanism and kinetics of moisture uptake and release in thin porous films. The model elucidated the effect of film properties on the contamination uptake as well as outgassing. The model is a valuable tool for designing an optimum process for contamination control and removal in porous films.Another concern in IC manufacturing is the outgassing of impurities of electropolished stainless steel (EPSS) surfaces used in UHP gas distribution system. Moisture interaction with EPSS surface is studied in sub ppb range. A fundamental model was developed to study the mechanism and kinetics of moisture uptake and release from EPSS. The model developed would be a valuable tool for designing an optimum process for contamination control and to predict the moisture dry down performance of large-scale, systems.
7

Modeling of integrated circuit interconnect dielectric reliability based on the physical design characteristics

Hong, Changsoo 28 August 2006 (has links)
The objective of the research is to model the reliability and breakdown mechanism of back-end dielectrics in integrated circuits and to investigate the impact of physical design characteristics on the back-end dielectric reliability. As design and process complexities continue to increase, the reliability of the back-end dielectrics becomes marginal. This is mainly because the power supply voltage is not scaled at a rate comparable to feature size, which results in exponentially increasing electric fields among interconnect lines. Therefore, it is strongly desirable to be able to predict reliability or to detect design weaknesses to reliability failure during the pre-silicon verification stage. It is desirable to enable pre-silicon verification of back-end dielectric reliability based on physical design characteristics. In this research, it is shown that dielectric reliability can be modeled as a function of the critical circuit area based on the yield models. Defect clustering is taken into account by using the negative binomial statistics. The physical design characteristics will be investigated for their impact on back-end dielectric reliability. These characteristics include such factors as layout geometry, pattern density, pattern orientation, and via placement. The physical breakdown mechanism for porous back-end dielectric films is also to be investigated using Monte Carlo simulation. It is shown that the electric field is enhanced by porosity in ultra-low-k dielectric films. The electric field enhancement caused by the porosity is shown to accelerate the charge transport.
8

Applications of Raman Spectroscopy in Cu-CMP and in BEOL Cleaning Chemistries

Kondoju, Siddartha January 2007 (has links)
In copper chemical mechanical planarization (CMP), in situ detection of barrier to dielectric layer transition is typically done using an optical reflectance technique. The introduction of carbon doped oxides (CDOs) as low-dielectric constant (k) materials for dielectric layers has opened up the possibility of using spectroscopic techniques for detecting such transitions more efficiently. The vibrational frequencies of the bonds between C, H, O, and Si in these low-k materials may be readily detected by spectroscopic techniques such as Raman and infrared (IR) spectroscopies. Since CMP is carried out in aqueous media, IR spectroscopy is not very desirable due to strong absorption of water in the same region as C-H vibrations (2800 cm⁻¹ to 3300 cm⁻¹). In contrast, Raman spectroscopy shows minimal water interference and can be used to efficiently monitor the signal from CDO films even in aqueous environments that prevail under CMP conditions. The research reported in this dissertation concerns the use of Raman spectroscopy in detecting the transition from tantalum (Ta) barrier layer to CDO dielectric layer, insitu. Intensities of Raman peaks characteristic of Si-Si vibrations from silicon substrates and C-H vibrations from low-k materials were used for monitoring CDO thickness and detecting removal of Ta layer. An abrasion cell was integrated with a Raman spectrometer to demonstrate the feasibility of Raman monitoring in-situ. Additionally, an alternative method was investigated for monitoring transitions in highly fluorescent low-k materials where Raman can not be used. The fluorescence intensity was used to effectively monitor Ta to low-k transitions. As a secondary objective, the Raman technique was used to monitor the composition of polishing slurries, which in the case of copper CMP, have a rich chemistry, which may change during the course of polishing due to consumption and decomposition of certain constituents. Various aspects, such as small layer thickness (<50 μm), continuous flow of the slurry, and dynamics of the film removal process pose a great challenge to the monitoring of slurry components between the pad and the wafer. The slurry constituents such as oxidants and corrosion inhibitors have unique signatures that can be detected using spectroscopic techniques. In this study Raman spectroscopy was used to detect and quantify chemical species such as hydroxylamine, benzotriazole and hydrogen peroxide in-situ. A more detailed study pertaining to the protonation of hydroxylamine with respect to the pH was also performed. Finally, surface enhanced Raman spectroscopy (SERS) was also investigated to improve the detection of pyridine and benzotriazole at low concentrations (<100 ppm).
9

Organosilane Downstream Plasma On Ultra Low-k Dielectrics: Comparing Repair With Post Etch Treatment

Calvo, Jesús, Steinke, Philipp, Wislicenus, Marcus, Gerlich, Lukas, Seidel, Robert, Clauss, Ellen, Uhlig, Benjamin 22 July 2016 (has links) (PDF)
Plasma induced damage of ultra low-k (ULK) dielectrics is a common phenomenon in BEOL interconnects. The damage leads to an increase in k-value, which raises the RC delay, leading to increased power consumption and cross talk noise. Therefore, diverse repair and post etch treatments (PET) have been proposed to restore or reduce the ULK damage. However, current repair processes are usually based on non-plasma silylation, which suffers from limited chemistry diffusion into the ULK. Moreover, the conventional PET based on anisotropic plasma results in bottom vs. sidewall inhomogeneities of the structures (e.g. via and trench). To reduce these drawbacks, an organosilane downstream -plasma (DSP) was applied. This new application resulted in an increased resistance to ULK removal by fluorinated wet clean chemistries, preserving the ULK hydrophobicity, keeping its carbon content relatively high. The effective RC measured on 28 nm node patterned wafers treated with a DSP PET remains nevertheless comparable to the process of record (POR).
10

Porous Ultra Low-k Material Integration Through An Extended Dual Damascene Approach: Pre-/ Post-CMP Curing Comparison

Calvo, Jesús, Koch, Johannes, Thrun, Xaver, Seidel, Robert, Uhlig, Benjamin 22 July 2016 (has links) (PDF)
Integration of dielectrics with increased porosity is required to reduce the capacitance of interconnects. However, the conventional dual damascene integration approach is causing negative effects to these materials avoiding their immediate implementation. A post-CMP curing approach could solve some of these issues. However, materials with porogens being stable at temperatures of the barrier-seed deposition process are not common, hindering this approach. Here, we report on an extended dual-damascene integration approach which permits post-CMP curing.

Page generated in 0.0343 seconds