• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 40
  • 14
  • 7
  • 3
  • 2
  • 2
  • 2
  • 1
  • Tagged with
  • 76
  • 76
  • 24
  • 20
  • 17
  • 15
  • 14
  • 14
  • 11
  • 10
  • 10
  • 10
  • 10
  • 10
  • 9
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
31

Příprava poly-para-xylylenových vrstev a charakteriazace jejich vlastností / Poly-para-xylylene films preparation and characterization of their properties

Menčík, Přemysl January 2012 (has links)
Poly-p-xylylene is a basic polymer of parylene family. It was discovered in 50s of the 20th century. In practical applications, there are used several derivates. Most of them are discussed in this thesis. Poly-p-xylylene has many utility properties, like barrier, thermal and mechanical properties. It can be used for conservation and protection of electronic equipments, medical tools and devices or museum exhibits. The most important property of parylene is its low dielectric constant which enables parylene to have good insulating properties in form of very thin layer. The most common precursor used for parylene coatings by Chemical Vapor Deposition (CVD) is [2,2]paracyclophane. Special device invented for this process was described in this thesis, including every part and assembly. The main aim of this thesis was to test properties of thin parylene layers on metal samples. High degree of polymer crystallinity was confirmed by confocal laser microscopy and optical microscopy in the polarized light measurements. Problems in the conventional method of production of parylene layers were found during the measurement of thickness of layers. Purity of deposited films was determined using Infrared spectroscopy (IR). Parylene barrier properties were quantified by the measurement of Oxygen Transmission Rate through a layer deposited on the surface of PP foil. Because the research has been mainly focused on protection of museum exhibits, the corrosion resistance test is the most important. Metal samples with thin parylene film were compared to samples with conventional restoration coating. The samples with parylene protection were slowly corroded by point corrosion. In contrast to them, the samples conserved by conventional restoration method were almost destroyed by corrosion.
32

AMC 2015 – Advanced Metallization Conference

Schulz, Stefan E. 22 July 2016 (has links)
Since its inception as the Tungsten Workshop in 1984, AMC has served as the leading conference for the interconnect and contact metallization communities, and has remained at the leading edge of the development of tungsten, aluminum, and copper/low-K interconnects. As the semiconductor industry evolves, exciting new challenges in metallization are emerging, particularly in the areas of contacts to advanced devices, local interconnect solutions for highly-scaled devices, advanced memory device metallization, and 3D/packaging technology. While the conference content has evolved, the unique workshop environment of AMC fosters open discussion to create opportunities for cross-pollination between academia and industry. Submissions are covering materials, process, integration and reliability challenges spanning a wide range of topics in metallization for interconnect/contact applications, especially in the areas of: - Contacts to advanced devices (FinFET, Nanowire, III/V, and 2D materials) - Highly-scaled local and global interconnects - Beyond Cu interconnect - Novel metallization schemes and advanced dielectrics - Interconnect and device reliability - Advanced memory (NAND/DRAM, 3D NAND, STT and RRAM) - 3D and packaging (monolithic 3D, TSV, EMI) - Novel and emerging interconnects Executive Committee: Sang Hoon Ahn (Samsung Electronics Co., Ltd.) Paul R. Besser (Lam Research) Robert S. Blewer (Blewer Scientific Consultants, LLC) Daniel Edelstein (IBM) John Ekerdt (The University of Texas at Austin) Greg Herdt (Micron) Chris Hobbs (Sematech) Francesca Iacopi (Griffith University) Chia-Hong Jan (Intel Corporation) Rajiv Joshi (IBM) Heinrich Koerner (Infineon Technologies) Mehul Naik (Applied Materials Inc.) Fabrice Nemouchi (CEA LETI MINATEC) Takayuki Ohba (Tokyo Institute of Technology) Noel Russell (TEL Technology Center, America) Stefan E. Schulz (Chemnitz University of Technology) Yosi Shacham-Diamand (Tel-Aviv University) Roey Shaviv (Applied Materials Inc.) Zsolt Tokei (IMEC)
33

Requirements and challenges on an alternative indirect integration regime of low-k materials

Haase, Micha, Ecke, Ramona, Schulz, Stefan E. 22 July 2016 (has links)
An alternative indirect integration regime of porous low-k materials was investigated. Based on a single Damascene structure the intra level dielectric SiO2 or damaged ULK was removed by using HF:H2O solutions to create free standing metal lines. The free spaces between the metal lines were refilled with a spin-on process of a low-k material. The persistence of barrier materials and copper against HF solutions, the gap fill behavior of the used spin on glass on different structure sizes and the main challenges which have to solve in the future are shown in this study.
34

Low-k SiCxNy Etch-Stop/Diffusion Barrier Films for Back-End Interconnect Applications

Leu, Jihperng, Tu, H.E., Chang, W.Y., Chang, C.Y., Chen, Y.C., Chen, W.C., Zhou, H.Y. 22 July 2016 (has links)
Lower k and low-leakage silicon carbonitride (SiCxNy ) films were fabricated using single precursor by using radio-frequency (RF) plasma-enhanced chemical vapor deposition (PECVD). We explored precursors with (1) cyclic-carbon-containing structures, (2) higher C/Si ratio, (3) multiple vinyl groups, as well as (4) the incorporation of porogen for developing low-k SiCxNy films as etch-stop/diffusion barrier (ES/DB) layer for copper interconnects in this study. SiCxNy films with k values between 3.0 and 3.5 were fabricated at T≦ 200 o C, and k~4.0-4.5 at 300-400 °C. Precursors with vinyl groups yielded SiCxNy films with low leakage, excellent optical transmittance and high mechanical strength due to the formation of cross-linked Si-(CH2)n-Si linkages.
35

Study of Interactions Between Diffusion Barrier Layers and Low-k Dielectric Materials for Copper/Low-k Integration

Tong, Jinhong 12 1900 (has links)
The shift to the Cu/low-k interconnect scheme requires the development of diffusion barrier/adhesion promoter materials that provide excellent performance in preventing the diffusion and intermixing of Cu into the adjacent dielectrics. The integration of Cu with low-k materials may decrease RC delays in signal propagation but pose additional problems because such materials are often porous and contain significant amounts of carbon. Therefore barrier metal diffusion into the dielectric and the formation of interfacial carbides and oxides are of significant concern. The objective of the present research is to investigate the fundamental surface interactions between diffusion barriers and various low-k dielectric materials. Two major diffusion barriers¾ tatalum (Ta) and titanium nitride (TiN) are prepared by DC magnetron sputtering and metal-organic chemical vapor deposition (MOCVD), respectively. Surface analytical techniques, such as X-ray photoelectronic spectroscopy (XPS), transmission electron microscopy (TEM), and atomic force microscopy (AFM) are employed. Ta sputter-deposited onto a Si-O-C low dielectric constant substrate forms a reaction layer composed of Ta oxide and TaC. The composition of the reaction layer varies with deposition rate (1 Å-min-1 vs. 2 Å-sec-1), but in both cases, the thickness of the TaC layer is found to be at least 30 Å on the basis of XPS spectra, which is corroborated with cross-sectional TEM data. Sputter-deposited Cu will not wet the TaC layer and displays facile agglomeration, even at 400 K. Deposition for longer time at 2 Å-sec-1 results in formation of a metallic Ta layer. Sputter deposited Cu wets (grows conformally) on the metallic Ta surface at 300 K, and resists significant agglomeration at up to ~ 600 K. Cu diffusion into the substrate is not observed up to 800 K in the UHV environment. Tetrakis(diethylamido) titanium (TDEAT) interactions with SiO2, Cu and a variety of low-k samples in the presence (~ 10-7 Torr or co-adsorbed) and absence of NH3 result in different products. TDEAT interactions with SiO2 are dominated by Ti interactions with substrate oxygen sites, and that Ti oxide/sub-oxide bond formation can proceed with relatively low activation energy. No Ti carbide or Si carbide formation is observed. Co-adsorption of TDEAT and NH3 on SiO2 at 120K followed by annealing to higher temperature results in enhanced Ti-N bond formation, which is stable against oxidation up to 900K in UHV. Similarly, continuous exposures of TDEAT on SiO2 at 500K in the presence of NH3 exhibit a relatively enhanced Ti-N spectral component. Co-adsorption of NH3 and TDEAT on Cu (poly) surface at 120K, followed by annealing to 500K, results in complete desorption of Ti, N or C-containing species from the Cu substrate. Reaction of TDEAT with a Cu surface at 500K yields a Ti-alkyl species via a b-hydride elimination pathway. TDEAT/Cu interactions are not observably affected by overpressures of NH3 of 10-7 Torr. TDEAT interaction with a porous carbon doped oxide low-k substrate at 700K demonstrates undissociated or partly dissociated Ti-NR species trapped in the dielectrics matrix due to its high porosity. In addition, carbide formation is observed from C(1s) XPS spectra. For a hydrocarbon low-k film, the majority sites (carbon) are highly unreactive towards TDEAT even at higher temperature due to a lack of functional groups to initiate the TDEAT/low-k surface chemistry.
36

Étude de la résistivité et de l'électromigration dans les<br />interconnexions destinées aux technologies des noeuds<br />90 nm - 32 nm

Guillaumond, Jean-Frédéric 02 December 2005 (has links) (PDF)
La résistivité et la fiabilité du cuivre dans les interconnexions des circuits intégrés pour les générations 90 nm – 32 nm ont été étudiées. Le contexte, la réalisation des interconnexions et les outils de caractérisations utilisés sont présentés dans une première partie. Dans une seconde partie, l'augmentation de résistivité observée en diminuant la largeur des lignes de cuivre est décrite à l'aide du modèle de Mayadas. Ce phénomène est dû à la diffusion des électrons sur les défauts du cristal (joints de grains, parois extérieures, impuretés). La résistivité des lignes de dimensions décananométriques, mesurée à l'aide d'une méthode électrique, confirme que cette augmentation est en accord avec la modélisation retenue. Dans une dernière partie, l'électromigration du cuivre qui est un déplacement de matière sous l'effet d'un flux d'électrons, a été évaluée. L'impact de l'utilisation de nouveaux matériaux (diélectrique poreux, barrière de diffusion CVD TiN et ALD TaN, alliage de cuivre-aluminium, barrières supérieures métalliques) a été estimé. De nouvelles caractérisations physiques (expériences d'électromigration in situ sous MEB et analyse de texture par EBSD) ont été développées pour corréler localement la structure cristalline du métal et les mécanismes de cavitation par électromigration. Les résultats majeurs ont montré l'importance du confinement du cuivre pour améliorer les durées de vie ainsi que les risques associés à la réduction des épaisseurs de barrière. Les résultats expérimentaux les plus prometteurs ont été obtenus avec les barrières métalliques où les caractéristiques d'électromigration semblent proches de celles attendues pour un matériau massif.
37

Polycarbonate-silsesquioxane and polycarbonate-siloxane nanocomposites: synthesis, characterization, and application in the fabrication of porous inorganic films

Abdallah, Jassem 21 August 2009 (has links)
Three types of poly(norbornane carbonate) or PNC oligomers were synthesized and characterized via spectroscopic methods and elemental analyses to validate their chemical structures. Using the results from proton nuclear magnetic resonance (1H NMR) experiments, the degree of polymerization and size of each PNC chain was estimated via end-group analysis. All three types of PNC structures were both thermally-labile and acidolytically-labile, allowing them to be used as sacrificial materials in both direct-write and thermally-processed template systems. Thermogravimetric analysis (TGA) data was used to determine the kinetic parameters for the thermolytic decomposition reactions and evolved-gas analysis via mass spectrometry (TGA-MS) was used to determine the mechanisms for thermolytic degradation. PNC oligomers were freely-mixed with hydrogen silsesquioxane (HSQ) to form solutions that were spin-coated to form templated films. Transmission electron microscopy (TEM) showed that the free-mixing of PNCs with HSQ resulted in the agglomeration of the porogen molecules during the spincoating step. This phase-segregation produced domain sizes much larger than those of the individual chains, and during decomposition large pores were produced. To combat the phase segregation, hydrosilylation reactions were used to covalently bond vinyl end-capped PNC chains to silane-functionalized siloxane and silsesquioxane molecules. These matrix-like materials served as compatibilizers in order to improve the phase-compatibility of the sacrificial polymers in HSQ films. NMR and GPC analyses showed that the solids recovered from the hydrosilylation reactions were binary mixtures of hybrid nanocomposite molecules and residual ungrafted PNC chains. TEM imaging showed that the domains in these nanocomposite films had bimodal size distributions due to the presence of two components in the mixtures. The hybrid molecules produced pores ranging in size from about 6-13 nm as a result of improvements in the phase-compatibility of the grafted oligomers. However, the residual ungrafted oligomers in the blends produced larger domains measuring 30-40 nm. It is believed that separation difficulties can be avoided if the vinyl termination reaction conditions can be adjusted to ensure 100% conversion of all the terminal hydroxyl groups to vinyl groups. Doing so would allow all PNC chains to be grafted during hydrosilylation reaction; thus, avoiding the recovery of free PNC oligomers.
38

Interconnects for future technology generations - conventional CMOS with copper/low-k and beyond

Ceyhan, Ahmet 12 January 2015 (has links)
The limitations of the conventional Cu/low-k interconnect technology for use in future ultra-scaled integrated circuits down to 7 nm in the year 2020 are investigated from the power/performance point of view. Compact models are used to demonstrate the impacts of various interconnect process parameters, for instance, the interconnect barrier/liner bilayer thickness and aspect ratio, on the design and optimization of a multilevel interconnect network. A framework to perform a sensitivity analysis for the circuit behavior to interconnect process parameters is created for future FinFET CMOS technology nodes. Multiple predictive cell libraries down to the 7‒nm technology node are constructed to enable early investigation of the electronic chip performance using commercial electronic design automation (EDA) tools with real chip information. Findings indicated new opportunities that arise for emerging novel interconnect technologies from the materials and process perspectives. These opportunities are evaluated based on potential benefits that are quantified with rigorous circuit-level simulations and requirements for key parameters are underlined. The impacts of various emerging interconnect technologies on the performances of emerging devices are analyzed to quantify the realistic circuit- and system-level benefits that these new switches can offer.
39

Plasma surface interactions at interlayer dielectric (ILD) and metal surfaces

January 2012 (has links)
abstract: In this dissertation, remote plasma interactions with the surfaces of low-k interlayer dielectric (ILD), Cu and Cu adhesion layers are investigated. The first part of the study focuses on the simultaneous plasma treatment of ILD and chemical mechanical polishing (CMP) Cu surfaces using N2/H2 plasma processes. H atoms and radicals in the plasma react with the carbon groups leading to carbon removal for the ILD films. Results indicate that an N2 plasma forms an amide-like layer on the surface which apparently leads to reduced carbon abstraction from an H2 plasma process. In addition, FTIR spectra indicate the formation of hydroxyl (Si-OH) groups following the plasma exposure. Increased temperature (380 °C) processing leads to a reduction of the hydroxyl group formation compared to ambient temperature processes, resulting in reduced changes of the dielectric constant. For CMP Cu surfaces, the carbonate contamination was removed by an H2 plasma process at elevated temperature while the C-C and C-H contamination was removed by an N2 plasma process at elevated temperature. The second part of this study examined oxide stability and cleaning of Ru surfaces as well as consequent Cu film thermal stability with the Ru layers. The ~2 monolayer native Ru oxide was reduced after H-plasma processing. The thermal stability or islanding of the Cu film on the Ru substrate was characterized by in-situ XPS. After plasma cleaning of the Ru adhesion layer, the deposited Cu exhibited full coverage. In contrast, for Cu deposition on the Ru native oxide substrate, Cu islanding was detected and was described in terms of grain boundary grooving and surface and interface energies. The thermal stability of 7 nm Ti, Pt and Ru ii interfacial adhesion layers between a Cu film (10 nm) and a Ta barrier layer (4 nm) have been investigated in the third part. The barrier properties and interfacial stability have been evaluated by Rutherford backscattering spectrometry (RBS). Atomic force microscopy (AFM) was used to measure the surfaces before and after annealing, and all the surfaces are relatively smooth excluding islanding or de-wetting phenomena as a cause of the instability. The RBS showed no discernible diffusion across the adhesion layer/Ta and Ta/Si interfaces which provides a stable underlying layer. For a Ti interfacial layer RBS indicates that during 400 °C annealing Ti interdiffuses through the Cu film and accumulates at the surface. For the Pt/Cu system Pt interdiffuion is detected which is less evident than Ti. Among the three adhesion layer candidates, Ru shows negligible diffusion into the Cu film indicating thermal stability at 400 °C. / Dissertation/Thesis / Ph.D. Physics 2012
40

Plasma Interactions on Organosilicate Glass Dielectric Films and Emerging Amorphous Materials- Approach to Pore Sealing and Chemical Modifications

Kazi, Haseeb 05 1900 (has links)
In-situ x-ray photoemission (XPS) and ex-situ FTIR studies of nanoporous organosilicate glass (OSG) films point to the separate roles of radicals vs. VUV photons in the carbon abstraction. The studies indicate that reaction with O2 in presence of VUV photons (~123 nm) result in significant carbon abstraction within the bulk and that the kinetics of this process is diffusion-limited. In contrast, OSG exposed to atomic O (no VUV) results in Si-C bond scission and Si-O bond formation, but this process is self-limiting after formation of ~1 nm thick SiO2 surface layer that inhibits further diffusion. Therefore, the diffusion-dominated kinetics of carbon abstraction observed for OSG exposed to O2 plasma is definitively attributed to the diffusion of O2 down OSG nanopores, reacting at photo-activated sites, rather than to the diffusion of atomic O. Pretreatment of OSG by 900 eV Ar+ ion bombardment also results in formation of 1 nm thick SiO2-like surface overlayer that inhibits O2 diffusion, inhibiting VUV+O2 and O2 plasma-induced reactions, and that the effectiveness of this treatment increases with ion kinetic energy. On the contrary, organosilicate glass (OSG) films with backbone carbon (-Si-R-Si-) exhibit significantly enhanced resistance to carbon loss upon exposure to O2 plasma, radicals and VUV+O2 compared to films with terminal methyl groups (Si-CH3). Films incorporating backbone carbon chains (-Si-R-Si-) were deposited from 1,2 bis (triethoxysilyl) ethane (BTESE) precursor by ebeam or plasma cross-linking. The radical effects on BTESE film indicates negligible carbon loss or Si oxidation, combined with C-O bond formation, under conditions where OSG films with terminal methyl groups exhibit > 80% carbon loss within the surface region of the film. C-O bond formation is never observed for terminal CH3 groups. Further, backbone carbon (-Si-R-Si-) films exposed to VUV+O2 exhibit self-limiting, minimal net carbon loss. This indicates that plasma-induced Si-C bond rupture still occurs in the linking unit, but with a low probability of simultaneous rupture of both Si-C bonds required for abstraction of an in-line methylene bridging group. The data thus demonstrate that OSG films containing backbone carbon groups exhibit greatly reduced rates of carbon loss in the presence of O2 plasma, radicals or VUV+O2 compared to films with terminal carbon groups due to fundamentally different patterns of Si-C bond scission. The results demonstrate the potential of backbone carbon low-k films to resist plasma induced damage.

Page generated in 0.0162 seconds