• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 40
  • 14
  • 7
  • 3
  • 2
  • 2
  • 2
  • 1
  • Tagged with
  • 76
  • 76
  • 24
  • 20
  • 17
  • 15
  • 14
  • 14
  • 11
  • 10
  • 10
  • 10
  • 10
  • 10
  • 9
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
71

Etude et caractérisation avancées des procédés plasma pour les technologies sub - 0.1 µm

Fuard, david 18 November 2003 (has links) (PDF)
Les interconnexions des circuits intégrés sub-0.25µm nécessitent l'intégration d'isolants «low-K» à plus faible permittivité diélectrique que SiO2 (~ 4.4) tel que le SiLK™ (~ 2.65), un matériau organique prometteur. Mais sa gravure plasma conduit à l'obtention de structures en forme de tonneau («bow»), alors que les profils gravés doivent rester anisotropes pour les étapes ultérieures d'intégration. Afin de réduire le bow, cette étude montre que la passivation des flancs des structures gravées est nécessaire, et fortement corrélée à la dégradation («graphitisation») du SiLK et à la présence de résidus carbonés peu volatils dans le plasma. La présence de sources carbonées autres que le SiLK™ permet aussi d'améliorer la passivation. L'étude du phénomène à l'origine du bow montre enfin que les charges électrostatiques jouent un rôle majoritaire dans la déflexion des ions sur les flancs. Ces résultats intéressent également tous les low-Ks à faible seuil de gravure ionique réactive.
72

Traitements plasmas Post Gravure pour l'intégration des matériaux SiOCH poreux dans les interconnexions en microélectronique

Bouyssou, Régis 18 December 2009 (has links) (PDF)
La miniaturisation des circuits intégrés permet à la fois d'augmenter les performances mais aussi de réduire leur coût. Cependant, cette réduction des dimensions provoque la prépondérance du temps de transit dans les interconnexions devant le temps de commutation des transistors. Ainsi, un matériau diélectrique de plus faible permittivité de type SiOCH poreux est intégré malgré une sensibilité plus élevée au plasma de gravure. Ce travail de recherche s'intéresse au développement de procédés plasmas in situ réalisés après la gravure de l'empreinte de la ligne métallique dans le diélectrique poreux. Ces traitements, utilisant des chimies réductrices, oxydantes et à base d'hydrocarbures, ont pour but de 1) limiter la croissance de résidus qui provoquent parfois des pertes de rendement dans le cas de l'utilisation d'un masque dur métallique et 2) limiter la diffusion de la barrière métallique en TaN/Ta. Cependant, ces traitements (NH3, O2, CH4, H2) ont été optimisés afin de ne pas augmenter la modification induite par l'étape de gravure seule. La caractérisation de la modification induite dans le diélectrique situé sur le fond et les flancs des lignes par les plasmas a été effectuée notamment en développant des techniques de caractérisation spécifiques. Ainsi, l'ensemble des traitements plasma induisent tous une couche modifiée dans le matériau avec des caractéristiques différentes sur le fond et les flancs (composition de surface, épaisseur, perméation...). Le traitement à base de méthane limite la croissance de résidus sans modifier le diélectrique plus que l'étape de gravure. Ce procédé a été implémenté en production par l'entreprise STMicroélectronics.
73

Les Procédés par Plasmas Impliqués dans l'Intégration des Matériaux SiOCH Poreux pour les Interconnexions en Microélectronique

Darnon, Maxime 23 October 2007 (has links) (PDF)
Pour réduire la taille des dispositifs et les temps de commutation en microélectronique, les lignes d'interconnexions doivent être isolées par du SiOCH poreux. Cependant, la réalisation de tranchées étroites dans le SiOCH poreux nécessite de revoir les différents procédés par plasmas (gravure, traitements post-gravure) et les schémas d'intégration, puisque ce matériau est facilement dégradé lorsqu'il est exposé à un plasma.<br /><br />Cette thèse porte sur les interactions plasmas/matériaux pour l'intégration des SiOCH poreux dans des tranchées très étroites (<100 nm). Les diagnostics des plasmas et l'analyse des matériaux exposés aux plasmas permettent de caractériser et d'optimiser les procédés de transfert de motifs d'un masque métallique ou organique dans un SiOCH poreux ou hybride (rendu poreux en fin d'intégration). La modification des matériaux poreux et hybrides par les plasmas post-gravure est également étudiée.<br /><br />Avec un plasma fluorocarboné, le matériau hybride présente des mécanismes de gravure similaires à ceux d'un SiOCH dense. Le TiN et le matériau organique ont des mécanismes de gravure différents de ceux des diélectriques, ce qui assure une bonne sélectivité. Le procédé de gravure optimisé pour le masque organique permet la gravure de tranchées très étroites avec un profil quasiment vertical. Par contre, le contrôle dimensionnel de tranchées étroites est plus difficile avec un masque en TiN, en raison de dépôts métalliques sur les flancs, de profils en forme de tonneaux, et du flambage des lignes. Après l'étape de gravure, les matériaux poreux et hybrides sont modifiés par les plasmas post-gravure.
74

Analyse par ToF-SIMS de matériaux fragiles pour les micro/nanotechnologies : évaluation et amplification de l'information chimique / ToF-SIMS characterisation of fragile materials used in microelectronic and microsystem devices : validation and enhancement of the chemical information

Scarazzini, Riccardo 04 July 2016 (has links)
Aujourd’hui, une grande variété de matériaux dit « fragiles » sont intégrés dans des dispositifs micro ou nanotechnologiques. Ces matériaux sont définissables comme « fragiles » en raison de leur forme, de leur dimension ou encore de leur densité. Dans ce travail, trois catégories de matériaux, de différents niveaux de maturités industrielle et technologique, ont été étudiés par spectrométrie de masse des ions secondaires à temps du vol (ToF-SIMS). Ces matériaux sont: du silicium méso-poreux, des polyméthacrylates déposés en couches très minces par voie chimique en phase vapeur initiée (iCVD) et des matériaux organosilicates (SiOCH) à basse constante diélectrique (low-k). L’objectif de ce travail est de vérifier et de valider la méthode ToF-SIMS comme une technique fiable pour répondre aux besoins de caractérisation chimique rencontrés pas ces matériaux Il s’agit également d’établir la cohérence de l’information chimique produite par l’interprétation de l’interaction ion/matière se déroulant lors de l’analyse. Pour le silicium méso-poreux, les échantillons ont été pulvérisés par différentes sources primaires d’ions (Césium, Xénon, Oxygène) et l’information secondaire générée comme, par exemple, les différences d’ionisation entre la couche poreuse et le matériau dense ont été analysées, notamment vis de l’énergie du faisceau de pulvérisation mais aussi du taux de porosité du matériau cible. Des modifications morphologiques significativement différentes selon la source d’ions ont également été observées et ont été corrélées à différents types de régime de pulvérisation, principalement induits par le taux de porosité de la cible.Concernant la caractérisation de polymères en couches minces, des conditions d’abrasion très peu agressives, notamment l’usage d’ions d’argon en cluster polyatomiques, ont été appliquées avec l’intention d’obtenir une information chimique secondaire riche en hautes masses moléculaires. La discrimination de films de polyméthacrylate avec une structure chimique quasi-identique a pu être obtenue et un protocole de quantification de copolymères proposé. De plus, par l’utilisation de la méthode d’analyse de données en composantes principales (PCA) appliquée aux spectres,une corrélation claire a été établie entre les composantes principales et la masse moléculaire des films de polymères.Enfin l’impact de traitements d’intégration tels que de la gravure ou du nettoyage chimique, nécessaires à la mise en œuvre industrielle des matériaux low-k, mais défavorables à leurs propriétés diélectriques, a été étudié. Pour obtenir une information chimique résolue en profondeur, l’abrasion par césium à basse énergie a été identifiée comme la stratégie la plus sensible et la plus adaptée. De même, la PCA a permis d’amplifier significativement les différences chimiques entre échantillons, permettant de rapprocher les variations de constante diélectrique aux compositions chimiques / Nowadays, the micro and nanotechnology field integrates a wide range of materials that can be defined as “fragile” because of their shape, dimension or density. In this work, three materials of this kind, at different level of technological and industrial maturity are studied by time of flight secondary ion mass spectrometry (ToF-SIMS). These materials are: mesoporous silicon, thin polymethacrylate films deposited by initiated Chemical Vapour Deposition (i-CVD)and hybrid organosilicate (SiOCH) dielectric materials (low-k). The objective is to verify and validate the ToF-SIMS as a reliable characterisation technique for describing the chemical properties of these materials. Indeed, because of this intrinsic ‘fragility’ the consistency of the chemical information is connected to an appropriate interpretation of the specific ion/matter interactions taking place.For mesoporous silicon, a systematic analysis is carried out considering various sputtering ion sources (Caesium, Xenon and Oxygen); both sputtering and ionisation behaviours are examined relatively to the nonporous silicon, taking into account energy of the sputtering beam and porosity rate of the target material.Concerning nanometric thick polymer films, low damaging analysis conditions are applied by the use of argon cluster primary ion sources in order to obtain a significant molecular secondary ion information. In these conditions, a discrimination of quasi-identical nanometre thick structures is made possible and a quantification method for copolymers is then proposed. In addition, with the supplement of data principal component analysis (PCA) an innovative and significant correlation is obtained between main Principal Component and sample molecular weights.Finally, the effect of several industrial integration processes (such as etching or wet cleaning) applied on low-k materials are studied in order to understand their detrimental impact on low-k insulating properties. To achieve a depth-resolved chemical information, low energy caesium sputterings are shown to be the most adapted and sensitive strategy. In addition, PCA is shown to be almost essential to amplify differences between samples significantly. This approach allowed combining the variation of physical properties (dielectric constant) with the chemical ones.
75

Das Konzept des effektiven Indenters für die Ermittlung des Elastizitätsmoduls und der Fließgrenze dünner Schichten

Herrmann, Matthias 27 May 2010 (has links)
Nanoindentations-Messungen haben in den letzten Jahrzehnten als Verfahren zur Ermittlung mechanischer Eigenschaften dünner Schichten stark an Bedeutung gewonnen. Für die Gewinnung eines tiefergreifenden Verständnisses des mechanischen Verhaltens dieser Schichten ist die Kenntnis des Elastizitätsmoduls und der Fließgrenze von essentieller Bedeutung – nicht zuletzt, da diese auch als Eingabeparameter für Simulationen des Materialverhaltens gefordert sind. Eine noch nicht im Detail verstandene Forschungsfrage bei der Kennwertermittlung ist die Berücksichtigung des Dünnschichtcharakters der Proben, deretwegen diese Untersuchungen im Wesentlichen immer noch einen Grundlagencharakter tragen und derzeit Gegenstand intensiver weltweiter Forschung sind. Auswege für eine solche Berücksichtigung existieren bisher nur für wenige Anwendungsfälle. Das Konzept des effektiven Indenters stellt eine Erweiterung der Auswerteansätze und damit neue Möglichkeit für die mechanische Charakterisierung der Dünnschichteigenschaften dar. In der vorliegenden Arbeit wird untersucht, inwieweit dieses Konzept zur Ermittlung des Elastizitätsmoduls dünner Schichten geeignet ist. Ebenso werden die Untersuchungen auf die Fließgrenze ausgeweitet. Beispielhaft kommen unterschiedliche Schichtmaterialien zum Einsatz, mit denen der Unterschied zwischen den Schicht-Substrat-Eigenschaften – Elastizitätsmodul und Fließgrenze – variiert werden kann. Durch Vergleich der für die BERKOVICH-Eindrücke erhaltenen Ergebnisse zu den mittels der Kugeleindrucksversuche bestimmten Werte – als etabliertes Messverfahren – wird festgestellt, dass o. g. Konzept prinzipiell für die oben angeführten Fragestellungen geeignet ist, insofern die erreichten Eindringtiefen im Vergleich zur Schichtdicke relativ gering sind. Physikalische Ursachen für dieses Verhalten werden vorgeschlagen und diskutiert. Ebenso wird eine spezielle Vorgehensweise des Konzepts des effektiven Indenters für die Charakterisierung von porösen sowie nichtporösen Low-k-Schichtmaterialien untersucht. Zusätzlich werden Finite-Elemente-Simulationen für grundlegende Betrachtungen zur Wirkungsweise des o. g. Konzepts anhand von massiven Proben herangezogen. / Considerable research effort has focused on measuring the mechanical properties of thin films via nanoindentation. To characterize the mechanical behavior of thin films, accurate determination of Young’s modulus and yield strength is required. For the purpose of modeling and dimensioning, these quantities serve as input parameters as well. An existing major challenge in the context of (nanoindentation) data analysis is the complete consideration of the layered structure of the specimen. In the literature, a few experimental and theoretical-based approaches have been developed to extract actual film properties. However, those approaches are only applicable under specific conditions and, hence, the problem is not satisfyingly solved to date. Therewith, investigations of accurately assessing mechanical properties of thin films, in general, or Young’s modulus and yield strength, in detail, are still part of ongoing research in the field of mechanical testing in materials research and development. The concept of the “effective indenter” is an extension of the current and established analysis of nanoindentation data and is a new possibility to determine mechanical properties of thin films. In this work, an investigation is given concerning the suitability of the model, in a specific approximation, for determining Young’s modulus of thin films. In a second step, the investigations are focused on the determination of yield strength. Film/substrate composites having a varying ratio of modulus and yield strength between film and substrate are chosen; BERKOVICH indentations are analyzed and spherical indentation experiments are used as second and independent technique. It is shown that the model is suitable to deliver Young’s modulus of thin films. However, a critical ratio of indentation depth to film thickness is identified; for ratios above this critical value, the model, in the present approximation, can no longer be used. Physical mechanisms that explain this finding are suggested and discussed. Moreover, the above-mentioned model is used to characterize the very specific class of materials of non-porous and porous low-k dielectric thin films in terms of yield strength and Young’s modulus. Finally, finite element modeling is used to study critical issues in applying the model of the “effective indenter” and its specific approximation used here for analysis of nanoindentation data for bulk materials.
76

Reaction Paths of Repair Fragments on Damaged Ultra-low-k Surfaces

Förster, Anja 25 September 2014 (has links)
In the present work, the plasma repair for damaged ultra-low-k (ULK) materials, newly developed at the Fraunhofer ENAS, is studied with density functional theory (DFT) and molecular dynamic (MD) methods to obtain new insights into this repair mechanism. The ULK materials owe their low dielectric constant (k-value) to the insertion of k-value lowering methyl groups. During the manufacturing process, the ULK materials are damaged and their k-values increase due to the adsorbtion of hydroxyl groups (OH-damage) and hydrogen atoms (H-damage) that replaced themethyl groups. The first investigation point is the creation of repair fragments. For this purpose the silylation molecules bis(dimethylamino)-dimethylsilane (DMADMS) and octamethylcyclotetrasiloxane (OMCTS) are fragmented. Here, only fragmentation reactions that lead to repair fragments that contain one silicon atom and at least one methyl group were considered. It is shown that the repair fragments that contain three methyl groups are preferred, especially in a methyl rich atmosphere. The effectivity of the obtained repair fragments to cure an OH- and H-damage are investigated with two model systems. The first system consists of an assortment of small ULK-fragments, which is used to scan through the wide array of possible repair reactions. The second system is a silicon oxide cluster that investigates whether the presence of a cluster influences the reaction energies. In both model systems, repair fragments that contain three methyl groups or two oxygen atoms are found to be most effective. Finally, the quantum chemical results are compared to experimental findings to get deeper insight into the repair process.:1. Introduction 2. Theoretical Background 2.1. Ultra-low-k Materials 2.1.1. Definition, Usage and Challenges 2.1.2. k-Restore 2.2. Reaction Theory 2.2.1. Reaction Process 2.2.2. Thermal Influence 3. Computational Methods 3.1. Overview 3.2. Density Functional Theory 3.2.1. Theoretical Background 3.2.1.1. The Schrödinger Equation and the Variational Principle 3.2.1.2. From the Electron Density to the Kohn-Sham Approach 3.2.1.3. Exchange-Correlation Functionals and Basis Sets 3.2.2. Used Program Packages 3.3. ReaxFF 3.3.1. Theoretical Background 3.3.2. Used Program Packages 4. Model System 4.1. Damaged ULK Materials 4.1.1. ULK-Fragments 4.1.2. Silicon Oxide Cluster 4.2. Repair Fragments 4.2.1. Overview 4.2.2. Fragmentation of DMADMS 4.2.3. Fragmentation of OMCTS 4.2.4. Continuing Reactions 5. Results and Discussion 5.1. Reactions between Repair Fragments and ULK-Fragments 5.1.1. Repair of OH-damages 5.1.2. Repair of H-damages 5.1.3. Selected Repair Reactions with Gaussian 5.2. Reactions Between Repair Fragments and Silicon Oxide Cluster 5.2.1. Comparison Between ULK-Fragments and Silicon Oxide Cluster 5.2.2. Comparability of DFT and MD Results 5.3. Comparison with Experimental Results 6. Summary and Outlook A. Appendix A.1. Temperature Influence . A.1.1. Temperature Influence on the DMADMS Fragmentation in Dmol3 A.1.2. Temperature Influence on the OMCTS Fragmentation in Dmol3 . A.2. Tests A.2.1. DMADMS Fragmentation with Gaussian A.2.2. G2 Test Set A.2.3. Calculation Time of the Silicon Oxide Cluster in Dmol3 A.3. Error Analysis A.3.1. Basis Set Superposition Error in Dmol3 A.3.2. Dispersion Correction A.4. Illustration of Defects A.5. Bookmark

Page generated in 0.0301 seconds