• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 31
  • 3
  • 1
  • Tagged with
  • 36
  • 36
  • 15
  • 14
  • 12
  • 12
  • 11
  • 11
  • 9
  • 9
  • 9
  • 7
  • 7
  • 7
  • 6
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Guides d'onde submicrométriques en GaAs/A1GaAs à fort rapport d'aspect et faibles pertes de propagation pour la conversion de longueur d'onde

Volatier, Maïté January 2010 (has links)
L'infrarouge est un domaine spectral particulièrement attrayant pour de nombreux champs d'applications, tels que les télécommunications, la détection, etc. Cependant, les sources infrarouges compactes disponibles à l'heure actuelle pour le domaine spectral R-moyen sont particulièrement couteuses à fabriquer ou à mettre en oeuvre. Ce projet de recherche propose une nouvelle famille de sources infrarouges compactes utilisant les propriétés non-linéaires de l'AlGaAs. Afin de réaliser la preuve de concept pour ces nouvelles sources infrarouges, un convertisseur de longueur d'onde, basé sur un guide d'onde submicrométrique, fonctionnant par génération de seconde harmonique a été conçu. Dans le but de permettre l'interaction non-linéaire et de maximiser son rendement de conversion, différents critères doivent être respectés : des dimensions très précises ainsi que des flancs non rugueux, homogènes et verticaux. Le défi de ce projet de doctorat était donc de développer un procédé de fabrication de guides d'onde créneaux submicrométriques en GaAs/AlGaAs à fort rapport d'aspect et rugosité latérale minimale. Nous avons ainsi optimisé l'étape cruciale de gravure plasma ICP des matériaux. La recette développée est reproductible. La chimie Cl[indice inférieur 2]/BCl[indice inférieur 3]/Ar/N[indice inférieur 2] utilisée permet de graver non sélectivement des structures nanométriques en GaAs/Al[indice inférieur x]Ga[indice inférieur 1-x]As quelque soit la valeur de la composition x en aluminium. Les flancs et les fonds de gravure sont exempts de rugosités et le dépôt de couche inhibitrice permet d'atteindre de forts rapports d'aspect. Ce procédé nous a permis de fabriquer des guides d'onde submicrométriques avec des verticalités quasi idéales et des rapports d'aspect extrêmes encore jamais publiés : 80 nm de large et 2,6 [micro]m de haut, soit un rapport d'aspect supérieur à 32. Une fois ces guides d'onde fabriqués, l'étape suivante a consisté à mesurer les pertes de propagation afin d'évaluer leurs performances, qui se sont révélées excellentes. Ainsi, un guide de 550 nm de large présente des pertes de propagation d'environ 40 dB/cm inférieures à celle d'un guide strictement identique présenté dans la littérature. Ces résultats ont permis à nos collaborateurs de réaliser la conversion de longueur d'onde dans ces structures : une onde infrarouge à 1582 nm génère une onde à 791 nm. De plus, le composant final est accordable en température ([delta][lambda] [tilde] 2 nm pour +3[degrés Celsius]) comme en largeur de guide ([delta][lambda] [tilde] 53 nm pour +50 nm). Cette réalisation est le premier pas vers de nouvelles sources infrarouges non linéaires, cohérentes, compactes, peu couteuses et compatibles avec l'optique intégrée. Dans le but d'améliorer davantage ces performances, nous avons également étudié le traitement de passivation de surface. L'intérêt de cette passivation est d'améliorer l'homogénéité des surfaces, en réduisant les densités de défauts responsables des pertes par recombinaisons non radiatives. Les traitements de passivation réalisés sur GaAs les plus efficaces ont permis de réduire la densité d'états de surface, originellement supérieure à 10[indice supérieur 13] cm[indice supérieur -2] eV[indice supérieur -1], à 5-7.10[indice supérieur 11] cm[indice supérieur -2]eV[indice supérieur -1]. Un tel traitement est donc prometteur pour les composants semi-conducteurs à fort rapport"surface/volume". Par conséquent, ce travail de doctorat a permis de mettre en place un procédé reproductible pour la fabrication de dispositifs complexes à base de structures GaAs/AlGaAs dont la qualité à permis la démonstration de la conversion non-linéaire des signaux optiques.
2

Fabrication et caractérisation de nanocristaux de silicium localisés, réalisés par gravure électrochimique pour des applications nanoélectroniques

Ayari-Kanoun, Asma January 2011 (has links)
Ce travail de thèse porte sur le développement d'une nouvelle approche pour la localisation et l'organisation de nanocristaux de silicium réalisés par gravure électrochimique. Cette dernière représente une technique simple et peu couteuse [i.e. coûteuse] par rapport aux autres techniques couramment utilisées pour la fabrication de nanocristaux de silicium. L'idée de ce travail a été d'étudier la nanostructuration de minces couches de nitrure de silicium, d'environ 30 nm d'épaisseur pour permettre par la suite un arrangement périodique des nanocristaux de silicium. Cette pré-structuration est obtenue de façon artificielle en imposant un motif périodique via une technique de lithographie par faisceau d'électrons combinée avec une gravure plasma. Une optimisation des conditions de lithographie et de gravure plasma ont permis d'obtenir des réseaux de trous de 30 nm de diamètre débouchant sur le silicium avec un bon contrôle de leur morphologie (taille, profondeur et forme). En ajustant les conditions de gravure électrochimique (concentration d'acide, temps de gravure et densité de courant), nous avons obtenu des réseaux -2D ordonnés de nanocristaux de silicium de 10 nm de diamètre à travers ces masques de nanotrous avec le contrôle parfait de leur localisation, la distance entre les nanocristaux et leur orientation cristalline. Des études électriques préliminaires sur ces nanocristaux ont permis de mettre en évidence des effets de chargement. Ces résultats très prometteurs confirment l'intérêt des nanocristaux de silicium réalisés par gravure électrochimique dans le futur pour la fabrication à grande échelle de dispositifs nanoélectroniques.
3

Lithographie de nouvelle génération par nanoimpression assistée par UV: étude et développement de matériaux et procédés pour l'application microélectronique

Voisin, Pauline 23 November 2007 (has links) (PDF)
La nanoimpression assistée par UV (UV-NIL) est une technique de lithographie émergente permettant de fabriquer des motifs de très petites dimensions (de l'ordre du nanomètre) par simple pressage d'un moule transparent et nanostructuré dans une résine fluide. Ce pressage est suivi par un flash de rayonnements UV qui photo polymérise la résine. En raison de son fort potentiel, l'UV-NIL est considéré comme un candidat possible pour réaliser l'étape lithographique dans la fabrication des circuits intégrés du futur. La résine peut être déposée, soit par dispense de microgouttelettes, soit en film mince par centrifugation ("spin-coating"). C'est cette dernière variante qui a été étudiée pendant cette thèse. <br />Pour cela, nous avons d'abord développé les procédés de fabrication de moule dans de la silice et élaboré des formulations de résine adaptées à l'UV-NIL. Nous nous sommes ensuite concentrés sur les critères d'intégration de l'UV-NIL en microélectronique, et plus particulièrement sur l'évaluation des étapes de pressage, sous différentes conditions expérimentales, et de gravure post-lithographique, avec divers plasmas. Nous avons démontré que l'UV-NIL par "spin-coating" permet d'accéder à de bonnes performances lithographiques, en terme d'uniformité d'épaisseur de résine résiduelle en fond de motifs, sous certaines conditions de géométrie de motifs (taille, densité...)
4

Etude de l'auto-organisation des copolymères à blocs pour la réalisation de nanofils slicium

Agraffeil, Claire 25 November 2010 (has links) (PDF)
Les copolymères à blocs s'auto-organisent sous forme de réseaux denses de nano-objets dont les dimensions varient de 5 à 50 nm. Selon les caractéristiques du système, les objets pourront décrire des réseaux de sphères, de cylindres ou de lamelles incorporés dans une matrice organique. L'objectif de cette étude est d'utiliser les propriétés d'organisation de ce matériau pour fabriquer des nanofils silicium en vue d'applications nanoélectroniques. Pour cela, les motifs cylindriques du système de copolymère dibloc PS-bloc-PMMA sont transférés par des procédés de gravure plasma. Étant donné la hauteur du masque polymère, de l'ordre de 10 nm seulement, différentes stratégies sont développées et proposent des procédés expérimentaux en fonction de la profondeur à transférer dans le silicium. Une des stratégies a fait l'objet d'une étude approfondie de l'interaction entre le système copolymère et l'or. Les traitements plasma sélectionnés permettront de localiser précisément et de contrôler la morphologie des nanostructures métalliques. Par ailleurs, le support des fils, décrit par les motifs cylindriques du système, s'organise selon une direction parfaitement aléatoire. Et dans le cadre de la fabrication de dispositif tel que le transistor à nanofils, l'orientation unidirectionnelle des fils s'avère indispensable. La mise en œuvre expérimentale d'une méthode d'épitaxie physique appelée la graphoépitaxie propose une solution technologique pour exploiter l'organisation cylindrique en tant que masque de gravure.
5

Développement et optimisation d'un procédé de gravure grille polysilicium pour les noeuds technologiques 45 et 32 nm

Babaud, Laurene 30 April 2010 (has links) (PDF)
Dans la course à l'intégration, l'un des paramètres les plus critiques dans la fabrication des dispositifs et leur performance est la définition des grilles des transistors et en particulier le contrôle en dimension de ces grilles de transistors. Pour le nœud technologique 45nm, la variation totale de dimension devra être inférieure à 2.8nm sur une tranche de 300mm. Cela comprend la variation intrapuce, intraplaque, plaque à plaque et lot à lot. Cette thèse porte sur l'étude des interactions plasma/matériaux lors d'un procédé industriel de gravure d'une grille polysilicium pour le nœud technologique 45nm. L'analyse dimensionnelle des motifs et la caractérisation chimique des surfaces exposées aux plasmas ont permis de caractériser et d'optimiser ce procédé de gravure. L'analyse des différents contributeurs de variabilité de la dimension critique des grilles, conjuguée à la compréhension approfondie des mécanismes de gravure par plasma, a permis de mettre en place des actions correctives afin de minimiser ces sources de variations. La gravure du polysilicium est contrôlée par la formation d'une couche fluorocarbonnée se formant en surface des flancs du polysilicium. La maitrise de cette couche passivante par les conditions du plasma (pression, puissance source débit de gaz...) a permis de développer une boucle de régulation innovante afin d'optimiser le contrôle de la dispersion des CD d'un lot à un autre. La mise en place de ce genre de boucle faisant varier plusieurs paramètres de la gravure par plasma sera la clef pour le contrôle dimensionnel des futurs nœuds technologiques en microélectronique.
6

Conception et réalisation de caloducs silicium pour les applications spatiales

Laï, Aymeric 15 November 2005 (has links) (PDF)
Dans un contexte d'intégration toujours plus poussée et d'augmentation des domaines de<br />fonctionnement des systèmes électroniques à bord des satellites, la conception de systèmes de<br />refroidissement compacts et performants permettant la gestion de puissance de densités de<br />plusieurs W/cm^2 est nécessaire. Ce travail de thèse effectué au laboratoire d'électrotechnique<br />de Grenoble (LEG) et utilisant l'expertise technologique du CEA-LETI se propose d'étudier<br />l'emploi de systèmes à changement de phase en silicium utilisant l'eau comme fluide pour le<br />refroidissement de l'électronique embarquée selon un cahier des charges défini par le CNES.<br />S'appuyant sur les techniques de la microélectronique (notamment celles de la gravure<br />profonde), les avantages intrinsèques du silicium pour l'application spatiale (faible masse<br />volumique, bonnes propriétés mécaniques, compatibilité avec l'environnement électronique)<br />et une première expérience de réalisation de systèmes diphasiques, le dimensionnement<br />hydrauliques, mécanique et thermique de répartiteurs de chaleur carrés 5 cm x 5cm de<br />compacité réduite (1 mm) capables de fonctionner en microgravité et la réalisation de<br />démonstrateurs ont été effectués. La caractérisation des performances thermiques et<br />hydrauliques de ces derniers permettent de prévoir à terme la dissipation de densités de<br />puissance au delà de 76 W/cm^2 avec une conductivité équivalente de 800 W/(m.K) selon le<br />domaine de fonctionnement en température considéré.<br />Une réflexion sur la problématique de l'injection du fluide dans la structure et son<br />confinement hermétique dans le dispositif a également été menée et a donné lieu à<br /> une proposition de solution originale et brevetée.
7

Caractérisation et développement d'un procédé de gravure séquentiel contrôlé à l'échelle nanométrique / Characterization and development of a nanoscale controlled sequential etching process for SiN spacers

Chambettaz, Florentin 04 April 2018 (has links)
La miniaturisation des dispositifs de la microélectronique nécessite la mise au point de procédé de gravure toujours plus précis. Le sujet de cette thèse s’inscrit dans cette problématique, en effet un procédé de gravure séquentielle contrôlée à l’échelle nanométrique a été proposé pour pallier aux défauts inhérents à la gravure plasma directe. Ce procédé de gravure destiné dans notre cas à la gravure d’espaceurs en SiN, se décompose en deux étapes. La première étape est une implantation d’atome léger. L’implantation d’espèces chimiques légères telles que de l’Hydrogène ou de l’Hélium présente l’avantage de modifier la structure du matériau sans induire une pulvérisation dommageable pour le dispositif à graver. La couche modifiée par l’implantation est ensuite gravée de manière sélective vis-à-vis du matériau pristine via un plasma « downstream » ou plasma déporté.L’implantation d’hydrogène ayant principalement été étudiée au cours de ces travaux, différentes caractérisations visant à quantifier l’hydrogène implanté ainsi que l’épaisseur modifiée ont été réalisées. En effet, des mesures de réflectométrie des rayons X ont permis de déterminer l’épaisseur modifiée en fonction de la puissance d’autopolarisation ainsi que de la durée d’implantation. Des profils d’implant hydrogène sur du SiN ont également été effectués au travers de caractérisations électriques. Les profils de densité de charge obtenus ont été comparés à des profils de liaisons Si-H et N-H obtenus à partir de mesures spectroscopiques infra-rouge en réflexion multiple, et ces mesures ont également été comparées à des profils de spectrométrie de masse à ionisation secondaire. Ces profils permettent de quantifier l’hydrogène implanté en fonction de la profondeur, et ont également fournis des informations vis-à-vis de l’influence du rayonnement UV et de la configuration chimique du matériau implanté. Une présence significative d’oxyde à la surface du matériau implanté a également été observée par le biais de mesures spectroscopique de photoélectrons X.L’étape de retrait a principalement été étudiée via des mesures ellipsométriques cinétiques in situ, et des mesures spectroscopique de photoélectrons X pour différentes conditions de température, et pour différents mélanges chimiques. Les mesures ellipsométriques ont permis d’observer la formation de sels durant la gravure, alors que les analyses spectroscopiques de photoélectrons X ont montré que la surface du matériau été désoxydée par le plasma de retrait, parallèlement une quantité importante de fluor a été mesurée à la surface du matériau.Les études réalisées sur les étapes d’implantation et de retrait ont permis de graver de manière satisfaisante des échantillons patternés dans les conditions adéquates. / The miniaturization of microelectronics devices requires the development of ever more accurate etching processes. The subject of this thesis is part of this problematic: a controlled sequential etching process at the nanoscale has been developed to overcome the inherent defects of direct plasma etching. This etching process intended in our case for the etching for SiN spacers, is divided in two steps. The first step is a light atom implantation. The implantation of light chemical species such as Hydrogen or Helium has the advantage of modifying the structure of the material without inducing a damaging sputtering for the device to be etched. In the second step, the layer modified by the implantation is etched selectively regarding the pristine material via a remote plasma.Hydrogen implantation was mainly studied during this work: different characterizations to quantify the implanted hydrogen as well as the modified thickness were carried out. X-ray reflectometry measurements were used to determine the modified thickness as a function of the self-polarization power and the duration of implantation. Hydrogen implant profiles on SiN were also carried out through electrical characterizations. The charge density profiles observed were compared to Si-H and N-H bond profiles obtained from infrared spectroscopic measurements in multiple reflections. These measurements were also compared to secondary ionization mass spectrometry profiles. These profiles make it possible to quantify implanted hydrogen as a function of depth, and have also provided information regarding the influence of UV radiation and the chemical configuration of the implanted material. A significant presence of oxide on the surface of the implanted material has also been observed through X-ray photoelectron spectroscopic measurements.The removal step was mainly studied via kinetic ellipsometric in situ measurements and X-ray photoelectron spectroscopic measurements for different temperature conditions and for different chemical mixtures. The ellipsometric measurements made it possible to observe the formation of salts during etching, whereas the X-ray photoelectron spectroscopic analysis showed that the surface of the material was deoxidized by the remote plasma, while a large quantity of fluorine was measured at the same time on the material surface. The studies carried out on the implantation and removal steps made it possible to succesfully etch patterned samples under the appropriate conditions.
8

Lithographie à très haute résolution par l'auto-assemblage du PS-b-PDMS et les gravures plasma associées : application à la fabrication de matrices de nanorubans de graphène / Advanced lithography by self-assembly of PS-b-PDMS and associated plasma ething : application to the fabrication of functional graphene nanoribbons arrays

Arias zapata, Javier 19 January 2018 (has links)
Les copolymères à bloc (BCP) ont la propriété particulière de s’auto-assembler en structures périodiques. Ces macromolécules en association avec la photolithographie est un candidat prometteur à utiliser comme technique alternative pour les patterning avancé de très haute résolution. De cette façon, la réduction des circuits intégrés peut être maintenue. Les BCPs avec une forte incompatibilité chimique entre les deux blocs présentent une valeur élevée du paramètre d’interaction de Flory-Huggins χ. La théorie des BCPs prédit des caractéristiques périodiques de seulement quelques nanomètres avec des BCPs à haut valeur d’interaction.Cette thèse présente un dispositif expérimental en vue du développement d’une lithographie à BCPs de deuxième génération en utilisant le polystyrène-bloc polydiméthylsiloxane (PS-b-PDMS), contre le polystyrène-bloc-Polydi(méthyle méthacrylate) (PS-b-PMMA) à faible valeur de χ. Sur ce sujet, la cinétique d’auto-assemblage d’un PS-b-PDMS avec une valeur du paramètre de segregation χN élevée a été amélioré avec le mélange de plastifiants sélectifs au bloc PS. L’auto-assemblage sur des grandes surfaces a été alors prouvé par un recuit thermique rapide (~ 30 s). Comme une preuve de concept de la lithographie, certains masques PS-b-PDMS testés ont été transférés sur Si, où des caractéristiques allant jusqu’à 25 nm ont été atteintes.Le principe de la lithographie par BCP a également été utilisé pour montrer la structuration de matériaux 2D. Par exemple, le graphène présente un besoin réel de structuration en nanostructures très étroites afin d’ouvrir un gap entre la bande de valence et la bande conduction pour modifier ses propriétés électriques par confinement quantique.Un bas Le PS-b-PDMS a été utilisé pour patterner avec de tailles caractéristiques de 10 nm. Le BCP est déposé par centrifugation et recuit directement sur le graphène.L’auto-assemblage sur de grandes surfaces (1 cm²) est réalisé en quelques minutes et le masque est ensuite transféré vers le graphène par gravure plasma à base d’oxygène, où dans une seule étape la matrice PS est éliminé, les cylindres PDMS oxydés et le graphène gravé. De grandes surfaces de nanorubans de 11 nm de largeur (GNR) ont été fabriquées par la lithographie de l’auto-assemblage du PS-b-PDMS. Un nettoyage au plasma H2 a également été effectué afin d’éliminer les contaminants organiques apparaissant lors des étapes de fabrication. Des techniques différentes pour l’analyse du carbone telles que la spectroscopie photoélectronique de rayons X, la spectroscopie Raman et la microscopie à force atomique ont été utilisées pour montrer la haute qualité des GNR.La caractérisation électrique des GNRs tels que la mobilité et l’ouverture du gap dans le graphène ont également été mesurés pour confirmer le comportement électronique des nanorubans de graphène. Des valeurs de l’ordre de 150 cm²/V s et 30 meV ont été mesurées. L’ensemble de la procédure expérimentale a été réalisé dans le cadre des réglèmentations de salles blanches pour la microélectronique, puis les processus d’auto-assemblage des BCPs proposés sont évolutifs et peu coûteux et sont bien adaptés pour être intégrés aux techniques existantes de fabrication de semi-conducteurs. / The Block copolymers (BCPs) have the particular property of self-assemble into ordered periodical structures. These macromolecules in association with the classic photolithography, is a promising candidate to be used as an alternative technique for the advanced patterning. This way, the downsizing of the integrated circuits can be kept up. BCPs with high chemical incompatibility between their blocks exhibit a high value of the Flory-Huggins interaction parameter χ. The BCP theory predicts periodical features sizes with high-χ; BCPs of only few nanometers.The BCP lithography principe was also used to show the patterning of 2D materials. For exemple, graphene present a real needs of patterning into very narrow nanostructures to open up a bandgap to switch its electrical properties by quantum confinement. A low χN PS-b-PDMS was used to pattern ~ 10 nm features. BCP is spin-coated and annealed directly on graphene. Self-assembly on large surfaces (1 cm²) is achieved in few minutes and the mask is then transferred on graphene by oxygen-based plasma etching, where in a single step will eliminate the PS matrix, oxidized the PDMS cylinders and etch the graphene. Large surfaces of 11nm-width Graphen nanoribbons (GNRs) were fabricated by the self-assembly of PS-b-PDMS. Dry H2 plasma cleaning was also performed to remove organic contaminants appearing during the fabrication steps. Different analysis techniques of carbon such as Raman and X-ray photoelectron spectroscopy and atomic force microscopy were used to show the high chemical quality of the GNRs.Electrical characterization of the GNRs such as mobility and the bandgap openingin graphene were measured also to confirm the electronic behavior of the graphene nanoribbons. Values of the order of 150 cm²/V s and 30 meV were measured. The entire procedure was realized under microelectronics clean room requirement, then, the BCP self-assembly processes proposed are scalable and low cost, and is well-suited for integration with existing semiconductor fabrication techniques.The lithographical procedure developed in this investigation could also be generalized to fabricate different graphene nanostructures such as graphene nanomeshes or quantum dots that could be envisaged for other applications in functional devices. GNRs on large surfaces are expect to find a broad ranges of applications, in the fields of electrochemical and bioanalysis.
9

Gravure des semi-conducteurs III-V par plasmas inductifs chlorés

Despiau-Pujo, Emilie 10 1900 (has links) (PDF)
Ce travail de thèse s'inscrit dans un domaine de recherche émergeant : la gravure par plasma pour la photonique et l'opto-électronique. La maîtrise des procédés de gravure passe par la prédiction des énergies et des flux d'espèces produites dans le plasma en fonction des paramètres de la décharge, ainsi que par la compréhension des mécanismes d'interaction plasma-surface. Ce travail aborde ces deux aspects au travers de modélisations fluides et de simulations atomistiques. Nous avons développé des simulations de dynamique moléculaire pour comprendre les mécanismes fondamentaux qui régissent la pulvérisation de deux semiconducteurs III-V (GaAs et GaN) par des ions Ar faiblement énergétiques. Cette étude numérique, confrontée à une série d'expériences, montre que la composition des matériaux bombardés est modifiée sur quelques dizaines d'angströms et que les atomes de Ga pulvérisés quittent la surface avec des énergies suffisantes pour endommager les flancs de gravure et briser les couches de passivation, notamment dans les procédés dominés par bombardement ionique. Nous avons également travaillé sur des simulations fluides (bi-dimensionnelles et globales) pour comprendre la dynamique des décharges inductives chlorées et étudier le transport des espèces au sein du plasma. Des confrontations modèle/expérience montrent que le modèle fluide 2D surestime les densités des particules chargées mais prédit de façon satisfaisante la composition neutre et ionique du plasma. Le modèle global constitue le premier pas vers une modélisation du régime basse puissance des plasmas inductifs chlorés ; il nous a permis d'étudier les instabilités qui se développent à la transition E-H.
10

Interactions entre les plasmas de gravure à couplage inductif et les parois du réacteur

Ramos, Raphaël 29 September 2008 (has links) (PDF)
Les interactions entre les plasmas créés par couplage inductif et les parois du réacteur sont responsables du manque de reproductibilité des procédés de gravure par plasma auquel est confrontée l'industrie microélectronique. Nous avons mis en évidence le mécanisme global de formation des dépôts sur les parois du réacteur pendant la gravure de divers matériaux grâce à une technique d'analyse des parois basée sur la spectroscopie de photoélectrons X. L'utilisation de plasmas à base de fluor est inévitable pour retirer ces dépôts et ainsi obtenir une reproductibilité satisfaisante, mais elle conduit à la formation de matériau AlFx sur les parois en Al2O3 des réacteurs - et ainsi à des dérives de procédés - à moins de lui associer un nettoyage par plasma à base de SiCl4 (ou de BCl3). Nous avons également étudié une stratégie de conditionnement des parois du réacteur avec du carbone qui permet d'améliorer la reproductibilité des procédés de gravure tout en supprimant le problème d'apparition de l'AlFx. L'impact de la nature chimique des parois du réacteur sur la physico-chimie des plasmas à base de chlore est détaillé et le rôle majeur du recyclage des espèces sur les parois du réacteur est mis en évidence.

Page generated in 0.0674 seconds