• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 40
  • 14
  • 7
  • 3
  • 2
  • 2
  • 2
  • 1
  • Tagged with
  • 76
  • 76
  • 24
  • 20
  • 17
  • 15
  • 14
  • 14
  • 11
  • 10
  • 10
  • 10
  • 10
  • 10
  • 9
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
41

The Interactions of Plasma with Low-k Dielectrics: Fundamental Damage and Protection Mechanisms

Behera, Swayambhu Prasad 08 1900 (has links)
Nanoporous low-k dielectrics are used for integrated circuit interconnects to reduce the propagation delays, and cross talk noise between metal wires as an alternative material for SiO2. These materials, typically organosilicate glass (OSG) films, are exposed to oxygen plasmas during photoresist stripping and related processes which substantially damage the film by abstracting carbon, incorporating O and OH, eventually leading to significantly increased k values. Systematic studies have been performed to understand the oxygen plasma-induced damage mechanisms on different low-k OSG films of various porosity and pore interconnectedness. Fourier transform infrared spectroscopy, x-ray photoelectron spectroscopy and atomic force microscopy are used to understand the damage kinetics of O radicals, ultraviolet photons and charged species, and possible ways to control the carbon loss from the film. FTIR results demonstrate that O radical present in the plasma is primarily responsible for carbon abstraction and this is governed by diffusion mechanism involving interconnected film nanopores. The loss of carbon from the film can be controlled by closing the pore interconnections, He plasma pretreatment is an effective way to control the damage at longer exposure by closing the connections between the pores.
42

Transparent Tissues and Porous Thin Films: A Brillouin Light Scattering Study

Bailey, Sheldon T. 21 May 2013 (has links)
No description available.
43

Modeling reliability in copper/low-k interconnects and variability in cmos

Bashir, Muhammad Muqarrab 20 May 2011 (has links)
The impact of physical design characteristics on backend dielectric reliability was modeled. The impact of different interconnect geometries on backend low-k time dependent dielectric breakdown was reported and modeled. Physical design parameters that are crucial to backend dielectric reliability were identified. A methodology was proposed for determining chip reliability but combining the insights gathered by modeling the impact of physical design on backend dielectric breakdown. A methodology to model variation in device parameters and characteristics was proposed. New methods of electrical and physical parameter extraction were proposed. Models that consider systematic and random source of variation in electrical and physical parameters of CMOS devices were proposed, to aid in circuit design and timing analysis.
44

Beiträge zur Technologieentwicklung für die Erzeugung von Airgap - Strukturen in Metallisierungssystemen in integrierten Schaltkreisen

Schulze, Knut 20 May 2008 (has links) (PDF)
Die Arbeit beschreibt die Entwicklung und Evaluierung zweier neuartiger Technologien (Maske und Spacer) zur Erzeugung von Airgap-Strukturen in Mehrebenenmetallisierungen integrierter Schaltkreise. Ausgangspunkt der Arbeit bildet die Aufarbeitung der Thematik der low-k Materialien sowie der aus der Literatur bekannten Airgap-Ansätze. Es werden die beiden entwickelten Konzepte zur Airgap-Erzeugung prinzipiell beschrieben und hinsichtlich der definierten Zielstellungen (konventionelle Prozessierung, Skalierbarkeit, selektiver Eintrag) sowie vergleichend zu alternativen Airgap-Ansätzen diskutiert. Im Fortgang werden Präparationen beider Technologien vorgestellt und deren Machbarkeit nachgewiesen. Die Erprobung und Optimierung einzelner Prozesse werden dokumentiert. Anhand der funktionsbedingten Anforderungen an Materialien und Grenzflächen werden ausgewählte Integrationsaspekte untersucht. Den Schwerpunkt bildet dabei der Einfluss von Fluorwasserstoffsäure auf elektrisch leitfähige und dielektrische Diffusionsbarrieren, Kupfer sowie deren Verbund. Es werden Möglichkeiten gezeigt, unerwünschte Wechselwirkungen zu minimieren und die Zuverlässigkeit der defektfreien Airgap-Erzeugung zu steigern. Die Arbeit beinhaltet zudem die Charakterisierung von Airgap-Strukturen entsprechend beider Ansätze hinsichtlich ihres elektrischen, thermischen und mechanischen Verhaltens für variierte Geometrien und Materialeigenschaften. Es werden FEM-Simulationen genutzt, um Messwerte zu verifizieren, Extrapolationen bei variierten Eingabedaten durchzuführen oder nicht messbare Größen zu extrahieren.
45

Beiträge zur Technologieentwicklung für die Erzeugung von Airgap - Strukturen in Metallisierungssystemen in integrierten Schaltkreisen

Schulze, Knut 26 March 2008 (has links)
Die Arbeit beschreibt die Entwicklung und Evaluierung zweier neuartiger Technologien (Maske und Spacer) zur Erzeugung von Airgap-Strukturen in Mehrebenenmetallisierungen integrierter Schaltkreise. Ausgangspunkt der Arbeit bildet die Aufarbeitung der Thematik der low-k Materialien sowie der aus der Literatur bekannten Airgap-Ansätze. Es werden die beiden entwickelten Konzepte zur Airgap-Erzeugung prinzipiell beschrieben und hinsichtlich der definierten Zielstellungen (konventionelle Prozessierung, Skalierbarkeit, selektiver Eintrag) sowie vergleichend zu alternativen Airgap-Ansätzen diskutiert. Im Fortgang werden Präparationen beider Technologien vorgestellt und deren Machbarkeit nachgewiesen. Die Erprobung und Optimierung einzelner Prozesse werden dokumentiert. Anhand der funktionsbedingten Anforderungen an Materialien und Grenzflächen werden ausgewählte Integrationsaspekte untersucht. Den Schwerpunkt bildet dabei der Einfluss von Fluorwasserstoffsäure auf elektrisch leitfähige und dielektrische Diffusionsbarrieren, Kupfer sowie deren Verbund. Es werden Möglichkeiten gezeigt, unerwünschte Wechselwirkungen zu minimieren und die Zuverlässigkeit der defektfreien Airgap-Erzeugung zu steigern. Die Arbeit beinhaltet zudem die Charakterisierung von Airgap-Strukturen entsprechend beider Ansätze hinsichtlich ihres elektrischen, thermischen und mechanischen Verhaltens für variierte Geometrien und Materialeigenschaften. Es werden FEM-Simulationen genutzt, um Messwerte zu verifizieren, Extrapolationen bei variierten Eingabedaten durchzuführen oder nicht messbare Größen zu extrahieren.
46

Mechanistic study of plasma damage to porous low-k : process development and dielectric recovery

Shi, Hualiang 15 September 2010 (has links)
Low-k dielectrics with porosity are being introduced to reduce the RC delay of Cu/low-k interconnect. However, during the O2 plasma ashing process, the porous low-k dielectrics tend to degrade due to methyl depletion, moisture uptake, and densification, increasing the dielectric constant and leakage current. This dissertation presents a study of the mechanisms of plasma damage and dielectric recovery. The kinetics of plasma interaction with low-k dielectrics was investigated both experimentally and theoretically. By using a gap structure, the roles of ion, photon, and radical in producing damage on low-k dielectrics were differentiated. Oxidative plasma induced damage was proportional to the oxygen radical density, enhanced by VUV photon, and increased with substrate temperature. Ion bombardment induced surface densification, blocking radical diffusion. Two analytical models were derived to quantify the plasma damage. Based on the radical diffusion, reaction, and recombination inside porous low-k dielectrics, a plasma altered layer model was derived to interpret the chemical effect in the low ion energy region. It predicted that oxidative plasma induced damage can be reduced by decreasing pore radius, substrate temperature, and oxygen radical density and increasing carbon concentration and surface recombination rate inside low-k dielectrics. The model validity was verified by experiments and Monte-Carlo simulations. This model was also extended to the patterned low-k structure. Based on the ion collision cascade process, a sputtering yield model was introduced to interpret the physical effect in the high ion energy region. The model validity was verified by checking the ion angular and energy dependences of sputtering yield using O2/He/Ar plasma, low-k dielectrics with different k values, and a Faraday cage. Low-k dielectrics and plasma process were optimized to reduce plasma damage, including increasing carbon concentration in low-k dielectrics, switching plasma generator from ICP to RIE, increasing hard mask thickness, replacing O2 by CO2 plasma, increasing CO addition in CO/O2 plasma, and increasing N2 addition in CO2/N2 plasma. By combining analytical techniques with the Kramers-Kronig dispersion relation and quantum chemistry calculation, the origin of dielectric loss was ascribed to the physisorbed water molecules. Post-ash CH4 plasma treatment, vapor silylation process, and UV radiation were developed to repair plasma damage. / text
47

The Fabrication of Direct-Write Waveguides via the Glassy-State Processing of Porous Films: UV-Induced Porosity and Solvent-Induced Porosity

Abdallah, Jassem 03 May 2007 (has links)
The incorporation of porosity in a material potentially results in the changes in electrical, mechanical and electrical properties and has generated much interest by researchers. The development of new techniques for inducing porosity in thin films may prove advantageous if they lead to a decrease in processing complexity, or an increase in the processing flexibility by widening the window of compatible physical conditions, or the improvement of the final properties of the porous materials. Two processing techniques were developed to produce porosity in thin dielectric films at temperatures below the glass transition temperature of the host matrix. These glassy-regime processing methods relied on the susceptibility of hydrogen silsesquioxane (HSQ) to gelation in the glassy regime when exposed to polar substances. Both of these glassy-regime processing methods relied on the susceptibility of hydrogen silsesquioxane (HSQ) towards gelation in the glassy regime when exposed to polar substances. The first processing method made use of co-solvent mixtures of polar non-protic organic solvent to serve both as gelation catalysts and pore-generators. HSQ films were soaked in the polar organic co-solvents, which penetrated the films and initiated crosslinking throughout the matrix. Afterwards the films were baked, volatilizing entrapped solvents and producing air pockets within the rigid matrix. The second porosity method used UV-radiation to initiate acid-catalyzed decomposition of polycarbonate sacrificial polymers after first using bases to catalyze the gelation of HSQ. The radiation-based (direct-write) decomposition of the porogen enabled the selective patterning of regions porosity via the use of a photomask, which resulted in the creation of refractive index profiles in the direct-written films. Porous films that were produced by these two glassy-state processing techniques were used to build slab waveguide structures. Optical characterization experiments showed that the fabricated waveguides had average propagation losses of 16 - 27 dB/cm for the first guided TE mode and about 36-40 dB/cm, for the second TE guided mode. It is believed that the large propagation loss values were caused by a combination of the Rayleigh scattering from the relatively large UV-induced pores produced in the direct-write layers as well as scattering induced by surface roughness.
48

ETUDE EXPERIMENTALE ET NUMERIQUE <br />DES PHENOMENES DE FISSURATION DANS LES INTERCONNEXIONS DE LA MICROELECTRONIQUE

Helene, Brillet-Rouxel 15 January 2007 (has links) (PDF)
Ce travail a pour objectif de mieux comprendre et maîtriser les défaillances mécaniques par fissuration liées à la réduction d'échelle des circuits intégrés appliquant la mécanique de la rupture à la microélectronique. La démarche scientifique pour discuter de l'intégrité mécanique de structures complexes telles que les interconnexions, a été conduite en deux étapes.<br /><br />- Comprendre et caractériser les mécanismes de fissurations sur les films minces fragiles de low-k par deux méthodes expérimentales, nanoindentation coin de cube et multifissuration canalisée en flexion 4 points. Les valeurs de ténacités obtenues, étant les plus critiques, servent ainsi de valeurs seuils pour estimer les risques de rupture d'une structure d'interconnexion.<br />- Estimer les risques de perte d'intégrité d'une structure par des approches numériques par Eléments finis. L'une est basée sur un critère d'amorçage de fissure aux singularités géométriques et matérielles, la seconde sur un critère énergétique de propagation de fissure pré-existante.<br /><br />Les résultats obtenus permettent d'établir les tendances générales d'influence de l'architecture des structures sur leur risque de fissuration.
49

Analyse électrique de diélectriques SiOCH poreux pour évaluer la fiabilité des interconnexions avancées

Verriere, Virginie 18 February 2011 (has links) (PDF)
Avec la miniaturisation des circuits intégrés, le délai de transmission dû aux interconnexions a fortement augmenté. Pour limiter cet effet parasite, le SiO2 intégré en tant qu'isolant entre les lignes métalliques a été remplacé par des matériaux diélectriques à plus faible permittivité diélectrique dits Low-κ. La principale approche pour élaborer ces matériaux est de diminuer la densité en incorporant de la porosité dans des matériaux à base de SiOCH. L'introduction de ces matériaux peu denses a cependant diminué la fiabilité : sous tension, le diélectrique SiOCH poreux est traversé par des courants de fuite et peut claquer, générant des défaillances dans le circuit. La problématique pour l'industriel est de comprendre les mécanismes de dégradation du diélectrique Low-κ afin de déterminer sa durée de vie aux conditions de température et de tension de fonctionnement. Dans ce contexte, les travaux de cette thèse ont consisté à étudier les mécanismes de conduction liés aux courant de fuite afin d'extraire des paramètres quantitatifs représentatifs de l'intégrité électrique du matériau. Nous avons utilisé ces paramètres afin de suivre le vieillissement du matériau soumis à une contrainte électrique. Nous avons également introduit la spectroscopie d'impédance à basse fréquence comme moyen de caractérisation du diélectrique Low-κ. Cet outil nous a permis de caractériser le diélectrique intermétallique de façon non agressive et d'identifier des phénomènes de transport de charges et de diffusion métallique à très basses tensions qui offrent des perspectives pour l'étude de la fiabilité diélectrique des interconnexions.
50

Metallization and Modification of Low-k Dielectric Materials

Martini, David M. 12 1900 (has links)
Aluminum was deposited onto both Teflon AF and Parylene AF surfaces by chemical vapor deposition of trimethylaluminum. This work shows that similar thin film (100 Angstroms) aluminum oxide adlayers form on both polymers at the low temperature dosing conditions used in the studies. Upon anneal to room temperature and above, defluorination of the polymer surfaces increased and resulted in fluorinated aluminum oxide adlayers; the adlayers were thermally stable to the highest temperatures tested (600 K). Angle-resolved spectra showed higher levels of fluorination toward the polymer/adlayer interface region. Copper films were also deposited at low temperature onto Teflon AF using a copper hexafluoroacetylacetonate-cyclooctadiene precursor. Annealing up to 600 K resulted in the loss of precursor ligands and a shift to metallic copper. As with aluminum adlayers, some polymer defluorination and resulting metal (copper) fluoride was detected. Parylene AF and polystyrene films surfaces were modified by directly dosing with water vapor passed across a hot tungsten filament. Oxygen incorporation into polystyrene occurred exclusively at aromatic carbon sites, whereas oxygen incorporation into parylene occurred in both aromatic and aliphatic sites. Oxygen x-ray photoelectron spectra of the modified polymers were comparable, indicating that similar reactions occurred. The surface oxygenation of parylene allowed enhanced reactivity toward aluminum chemical vapor deposition. Silicon-carbon (Si-Cx) films were formed by electron beam bombardment of trimethylvinylsilane films which were adsorbed onto metal substrates at low temperatures in ultra-high vacuum. Oxygen was also added to the films by coadsorbing water before electron beam bombardment; the films were stable to more than 700 K, with increasing silicon-oxygen bond formation at elevated temperatures. Copper metal was sputter deposited in small increments onto non-oxygenated films. X-ray photoelectric spectra show three-dimensional copper growth (rather than layer-by-layer growth), indicating only weak interaction between the copper and underlying films. Annealing at elevated temperatures caused coalescence or growth of the copper islands, with spectra indicating metallic copper rather than copper oxide.

Page generated in 0.0391 seconds