• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 40
  • 14
  • 7
  • 3
  • 2
  • 2
  • 2
  • 1
  • Tagged with
  • 76
  • 76
  • 24
  • 20
  • 17
  • 15
  • 14
  • 14
  • 11
  • 10
  • 10
  • 10
  • 10
  • 10
  • 9
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
11

Low-k SiCxNy Etch-Stop/Diffusion Barrier Films for Back-End Interconnect Applications

Leu, Jihperng, Tu, H.E., Chang, W.Y., Chang, C.Y., Chen, Y.C., Chen, W.C., Zhou, H.Y. 22 July 2016 (has links) (PDF)
Lower k and low-leakage silicon carbonitride (SiCxNy ) films were fabricated using single precursor by using radio-frequency (RF) plasma-enhanced chemical vapor deposition (PECVD). We explored precursors with (1) cyclic-carbon-containing structures, (2) higher C/Si ratio, (3) multiple vinyl groups, as well as (4) the incorporation of porogen for developing low-k SiCxNy films as etch-stop/diffusion barrier (ES/DB) layer for copper interconnects in this study. SiCxNy films with k values between 3.0 and 3.5 were fabricated at T≦ 200 o C, and k~4.0-4.5 at 300-400 °C. Precursors with vinyl groups yielded SiCxNy films with low leakage, excellent optical transmittance and high mechanical strength due to the formation of cross-linked Si-(CH2)n-Si linkages.
12

Etude de l'oxyde de silicium implanté krypton ou xénon : évolution de la constante diélectrique. / Study of Silica implanted krypton or xenon : evolution of dielectric constant

Naas, Abdelkrim 10 December 2010 (has links)
Ce travail de thèse consiste en une étude approfondie du comportement de l'oxyde de silicium implanté Kr ou Xe pour son application comme matériau à faible constante diélectrique. Deux volets sont examinés: une étude structurale par l'utilisation de plusieurs techniques (RBS, PL, MET et PAS) et une étude de la variation de la constante diélectrique par utilisation de la spectroscopie IR avec le développement d'un modèle de la fonction diélectrique et des mesures C(V). Pour la caractérisation structurale, les principaux résultats confirment pour le cas du Kr, une distribution homogène de ce dernier jusqu'à 400°C. Pour le cas du Xe, le profil de distribution en profondeur de Xe est quasi-gaussien. Le Xe reste stable dans le SiO2 jusqu'à 900°C et désorbe à 1100°C et les bulles se transforment en cavités. Les bulles sont formées au niveau du pic des lacunes (p(lacunes)R). Alors qu’en l’absence des bulles, le Xe se localise à la profondeur de fin de parcours du Xe (RpXe) calculée par SRIM. On note aussi la présence de défauts chargés négativement et des défauts paramagnétiques E'. Ces défauts négatifs disparaissent après un recuit à 750°C. La forme des bulles, pour les deux cas Xe et Kr, est influencée par la position de l'interface SiO2/Si; sans doute à cause de la différence des modules d'Young des deux matrices. L'IR et les mesures C(V) ont permis de montrer que l'implantation des deux gaz fait diminuer la valeur de la constante diélectrique jusqu'à 2.8 pour le cas Kr et entre 1.8 et 2.4 pour le cas Xe. La cohérence des résultats obtenus par les deux techniques montrent bien que ces deux gaz rares peuvent être utilisés pour la réalisation de SiO2 de faible constante diélectrique avec un impact plus important quand le Xe est utilisé. Cette étude a permis aussi de montrer la contribution de la polarisabilité et de la porosité sur la réduction de la valeur de la constante diélectrique du SiO2 implanté. / This thesis aims to get a deep insight of Kr and Xe-implanted amorphous SiO2 for its possible application as low-k material. This work is divided in two parts: Two sides are examined: a structural study by using several techniques (RBS, PL, MET et PAS) and investigation of the evolution of the dielectric constant by using IR spectroscopy with a dielectric function model developing and C-V measurements. From structural characterization, our main results confirm, in the case of Kr implantation, an homogeneous distribution for temperature up to 400°C. For Xe, the distribution profile is quasi-gaussian. Xe remains stable in SiO2 then desorbs completely at 1100°C. We demonstrated that Xe-bubbles are located at the projected range of vacancies (RPV) as simulated by SRIM. However, we also showed that if Xe dose is not higher enough to induce bubbles, Xe is located at RP. Such a behavior helps understanding the formation of Xe-bubbles in SiO2. We reported the presence of negative defects charge and the paramagnetic defects E'. These defects disappear after 750°C annealing. The shape of bubbles induced by both Kr and Xe is SiO2/Si interface dependent. They are spherically shaped when interface is closed and quite irregular when this one is far. Differences in Young Modulus of Si and SiO2 can probably explain such a behavior. IR and C-V measurements show that Xe and Kr implantation result in decreasing the dielectric constant value down to 2.8 in the Kr case and in the range 1.8-2.4 in the Xe case. The good agreement between k values provided by IR and C-V measurements clearly valids the fact that Kr or Xe-implantation in SiO2 is a powerful approach to building low-k dielectrics. With Xe leading to a higher decrease. This study has also pointed out the contribution of both the polarisability and the porosity in the reduction of the dielectric constant of the implanted SiO2.
13

Impact des chimies de nettoyage et des traitements plasma sur les matériaux diélectriques à basse permittivité / Impact of plasma treatments and cleaning chemistries on porous materials with very low permittivity

Lépinay, Matthieu J. 23 October 2014 (has links)
Nous présentons dans ce travail l'impact du procédé de fabrication d'un circuit intégré (nœud technologique 28 nm) sur le matériau diélectrique poreux utilisé pour isoler les interconnexions des transistors. Notre étude est en particulier axée sur la diffusion d'espèces (chimies de nettoyage, eau/humidité, molécules de gaz) dans le réseau poreux. Pour décorréler les effets "chimiques" d'affinité entre la surface et les molécules considérées et "physiques" de taille des pores, plusieurs techniques de caractérisation complémentaires sont utilisées. Les modifications chimiques sont d'abord caractérisées en surface par XPS et angle de goutte. Le FTIR est ensuite utilisé pour sonder l'épaisseur de la couche et le ToF-SIMS pour obtenir un profil en profondeur des modifications. L'analyse de la microstructure par RMN du solide permet de mettre en évidence les variations de la réticulation du squelette silicique. La porosimétrie par EP, PALS et GISAXS révèle des incohérences entre ces techniques reposant sur l'adsorption de gaz d'une part, et la diffusion de rayons X et l'annihilation de positrons d'autre part. La modélisation numérique des isothermes d'adsorption de gaz nous permettent de tenir compte des interactions sonde-surface et de réconcilier les résultats. Ainsi nous mesurons une augmentation de la taille des pores par les plasmas de gravure, et une diminution de taille des pores après nettoyage HF, qui correspondent aux modifications chimiques en termes de tailles des groupements. Finalement, ces moyens de caractérisation montrent que des traitements de silylation peuvent restaurer efficacement les propriétés diélectriques et physico-chimiques des matériaux low-k. / We report in this work the impact of the manufacturing process of an integrated circuit (28 nm technology node) on the porous dielectric material used to isolate the interconnections of the transistors. Our study focuses in particular on the diffusion of species (cleaning chemistries, water/moisture, gas molecules) in the porous network. To decorrelate "chemical" effects of affinity between the molecules and the surface and "physical" effects due to pore size, several complementary techniques are used for further characterization. Chemical changes are first characterized at the surface by XPS and drop contact angle. FTIR is then used to probe the whole thickness of the layer and the ToF-SIMS to obtain a depth profiled characterization. A microstructure analysis by solid-state NMR enables to highlight the changes in cross-linking of the silicon skeleton. A porosimetric study by EP, PALS and GISAXS reveals inconsistencies between these techniques based on the adsorption of gases on the one hand, and X-ray scattering and positron annihilation of the other. Numerical modeling of gas adsorption isotherms enables us to consider interactions probe surface and reconcile the results. Thus we measured an increase of the pore size by plasma etching, and a decrease in pore size after HF cleaning, which correspond to the characterized chemical changes in terms of size of the functional groups. Finally, these characterization techniques show that silylation treatments can effectively restore the dielectric and physico-chemical properties of low-k materials.
14

Study on ultra low-k silicon oxide with nano-porous structure

Tsai, Hong-Ming 08 July 2002 (has links)
In this thesis, the leakage-mechanism after O2-plasma treatments was investigated. And the mechanism is transformed from Schottky emission into ionic conduction due to moisture uptake after porous silica film undergoes O2 plasma ashing. Besides, CMP process can to recover the damaged films by removed the degraded parts. From the result, we know that O2 plasma causing the bulky damage. Finally, the resistance of metal penetration of O2 plasma treated POSG is performed by utilizing BTS test. It was found that the moisture uptake in POSG films assisting metals in ionization process. Then, the penetrated metal ions in POSG film causes the leaky characters degraded.
15

Investigation of Low-Dielectric constant Hydrogen Silsesqnioxane as Intermetal Dielectric

Wei, Hsuan-Yi 11 September 2002 (has links)
Abstract As ULSI circuits are scaled down to deep submicron regime, interconnect delay becomes increasingly dominant over intrinsic gate delay. To reduce the RC delay time, many low dielectric constant materials have been developed. One of the most promising low-k materials is siloxane-based hydrogen silsesquioxane (HSQ) having the general formula (HSiO3/2)2n, n=2, 3, etc. available as Flowable Oxide (FOx). But low mechanical strength is the problem of HSQ. In order to modify the material composition and mechanical intensity of HSQ, a novel siloxane-based inorganic spin-on material Modified-HSQ has been developed for intermetal dielectric applications. In this thesis, the Intrinsic Properties of M-HSQ was investigated. And the effect of H2, O2 plasma treatment was investigated. Besides, In order to avoid the damage when remove the PR, to achieve small linewidth and reduce linewidth fluctuations. We employed E-Beam lithography to pattern the M-HSQ film. The leakage current of M-HSQ film by E-Beam curing is lower than film by conventional process.
16

Study on electrical mechanism of low-k material and copper interconnection under various mechanism stresses

Hsu, Chia-Hao 25 July 2008 (has links)
In order to construct the integrated circuit with high efficiency, the size of the semiconductor devices becomes smaller and smaller. The surface of the chip is unable to offer enough area for devices interconnecting, that the Ultra Large Scale Integration (ULSI) has to adopt the construction of multilayer metal conductor line, and to decrease it¡¦s connects. However, the RC delay time becomes a main issue to limiting semiconductor speed when the electron signal was transferred between two metal connects. In order to solve the problem of RC delay, and to lower resistivity, copper (1.7 £g£[-cm) is applied instead of Aluminum (2.7 £g£[-cm) at present. In additation, to lower the capacitance, the low-k material has taken place SiO for reducing the electric capacity. In this work, the capacitance and current of MIM(Metal-Insulator-Metal) of interconnecting circuit were investigated under bending stress. SiOC of OSG (Organic silicate glass) layer has applied to a MIM structure. In order to apply the strain in devices, the device was bended to a fixed curvature for compressed and tensile stress. By bending the device, the capacitance and leakage current I-V & C-V were analyzed and compared with the unstressed SAMPLE of I-V and C-V at high temperature, too. The result reveals both of Schottky and Poole-Frenkel conduction mechanism existing in device under a high electric field of 1800 V/cm1/2, which indicates the theoretical treatment is unappropriate for the interpretation of the leakage current mechanism.
17

Photo-definable dielectrics with improved lithographic, mechanical, and electrical properties

Mueller, Brennen 08 June 2015 (has links)
Permanent dielectric materials are integral to the fabrication of microelectronic devices and packaging. Dielectrics are used throughout devices to electrically and mechanically isolate conductive components. As such, they are required to have low electrical permittivity and robust mechanical properties. For packaging applications, dielectrics can be directly photo-definable. Dielectrics need to have excellent lithographic properties. These properties are pivotal for enabling high yield and low cost fabrication of reliable, energy efficient devices. The aim of this work was to develop new positive tone dielectrics which have improved or application-specific lithographic, mechanical, and electrical properties. To this end, several new dielectric polymers and chemistries were evaluated and characterized. Initially, it was desired to develop a positive tone, polynorbornene (PNB) dielectric that utilizes diazonaphthoquinone (DNQ) photochemistry. Cross-linking was achieved with epoxy cross-linkers during a thermal cure. Several DNQ-containing compounds were evaluated, but only one had good miscibility with PNB. The dissolution characteristics of PNB were measured with respect to polymer composition, DNQ loading, and cross-linker loading. PNB films exhibited unique dissolution properties, and these measurements allowed for an optimum formulation to be developed. A formulation with 20 pphr DNQ and 10 pphr epoxy cross-linker had sufficient inhibition in unexposed regions and fast dissolution in exposed regions. The resulting dielectric was the first positive tone, DNQ-based PNB dielectric. After achieving photo-definability, the cross-linking of the cured dielectric was evaluated by characterizing the mechanical properties. It was discovered that DNQ acted as a cross-linker in these films, and this insight was key to achieving good curing of the dielectric. Several experiments were performed to support this conclusions, and the reaction kinetics of this cross-linking reaction were evaluated. This effort produced a functional, positive tone dielectric with a sensitivity of 408 mJ cm-2 and contrast of 2.3. The modulus was 2.0 to 2.6 GPa and the dielectric constant of 3.7 to 3.9, depending on the curing conditions. The DNQ cross-linking results led to the investigation of other cross-linking chemistries for positive tone dielectrics. A chemically amplified (CA) photochemistry was utilized along with a Fischer esterification cross-linking reaction. Patterning and cross-linking were demonstrated with a methacrylate polymer. Successful positive tone lithography was demonstrated at a high sensitivity of 32.4 mJ cm-2 and contrast of 5.2. Cross-linking was achieved at 120°C as shown by residual stress and solubility measurements. The CA photochemistry and Fischer esterification cross-linking were also demonstrated using a PNB dielectric, which was shown to have improved lithographic properties: a sensitivity of 8.09 mJ cm-2 and contrast of ≥ 14.2. Work was performed to evaluate the effect of the polymer composition on the mechanical and electrical properties. A polymer with 60 mol% hexafluoroisopropanol norbornene and 40 mol% tert-butyl ester norbornene exhibited a dielectric constant of 2.78, which is lower than existing positive tone dielectrics. It also outperformed existing dielectrics in several other categories, including dark erosion, volume change, cure temperature, and in-plane coefficient of thermal expansion. However, a limitation of this dielectric was cracking in thick films. The final study was to improve the mechanical properties of this CA PNB dielectric specifically to enable 5 µm thick films. First, a terpolymer was tested that included a non-functional third monomer. The dielectric constant increased to 3.48 with 24 mol% of the third monomer. Second, low molecular weight additives were used to lower the modulus. Only one of the five tested additives enabled high quality, thick films. This additive did not significantly affect the dielectric constant at low loadings. An optimized formulation was made, and processing parameters were studied. The additive decreased the lithographic properties, lowering the sensitivity to 175 mJ cm-2 and lowering the contrast to 4.36. In all, this work produced three functional dielectrics with positive tone photo-definability and good lithographic properties. Each dielectric can serve a variety of purposes in microelectronics packaging.
18

Spectroscopic studies of boron carbo-nitride

Ahearn, Wesley James 14 February 2011 (has links)
BCxNy films were characterized as a potential pore sealing layer for low κ dielectrics. The changes in chemical bonding were studied as a function of growth temperature to aid in understanding the variation in electrical performance of these films. Thermal chemical vapor deposition of BCxNy using dimethylamine borane and ethylene were deposited on porous methylsilsesquioxane substrates at 335 °C and 1 Torr. BCxNy was able to seal the porous interface with a thickness of 3.9 nm for both blanket and patterned substrates. BCxNy films deposited over a temperature range of 300-400 °C with dimethylamine borane and either ethylene or ammonia coreactant gas were characterized. Films deposited with ethylene became more concentrated in B at the expense of C with increasing temperature. These films favored C-B intermixing over C-C and B-B bonding at higher temperature. H was detected in the form of B-H and C-H bonds. Films deposited with ammonia became concentrated in N at the expense of B, and favored B-N viii bonding at higher temperatures. H was found in the films as B-H, C-H, and N-H bonds. The amount of H in the films decreased with increasing growth temperature for both ethylene and ammonia coreacted films. The valence band offset of C-rich films increased from 0.17 ± 0.22 eV to 0.32 ± 0.22 eV when deposited at 300°C and 400 °C. For the Nrich films, the valence band offset shifted from 0.26 ± 0.28 at 300 °C to -0.15 ± 0.24 eV at the same deposition conditions. High temperature annealing from 400-800 °C in forming gas caused all BCxNy films to decrease in thickness up to 30%. At the same time, the index of refraction increased, and likely, the dielectric constant. X-ray photoelectron spectroscopy revealed little change in the constituent bonding, suggesting that volatile –H containing species were removed during the annealing process. / text
19

Control of Plasma Etching of Semiconductor Surfaces

Zhu, Hongbin January 2005 (has links)
The current semiconductor device manufacturing requires more strict control of plasma etching. In this research, plasma etching was investigated through gas phase characterization and interface reactions. Hydrogen and nitrogen were added to Ar plasmas to manipulate the electro-physical properties that were measured by a Langmuir probe system. Hydrogen addition modified the EEDF (electron energy distribution function) by increasing the electrons in high energy range. Adding N2 formed a strong bi-Maxwellian distribution. Gas addition caused the transition between ohmic and stochastic heating. Ar-CH4-H2 and Ar-N2-H2 plasmas were also tested. Hydrogen atom beam was used on porous silicon dioxide based low-k films to remove silanol groups that were generated due to the damage of films during pattern transfer. At H2 atom beam process at 150 C moved close to 60% silanol groups were removed in less than 3 min with an etching rate of 15 A/min. The apparent activation energy was 2.4 kcal/mol. Hydrogen atoms reacted with Si-O-Si and methyl groups. The etching mechanisms of CH4/H2/Ar plasma for InP were analyzed by a beam reactor system. Sputtering yield was measured, threshold energy was approximately 60 eV. Inert ion beam assisted chemical reactions gave higher etching rate. The CH4 concentration had no strong effect on etching rate after 5%. Etching rate was not sensitive to temperature up to 150 C. The adsorption of methyl groups to the surface was proposed as rate limiting step. Chemical reaction effectively reduced the surface roughness.
20

Characterizaton of Triethoxyfluorosilane and Tetraethoxysilane Based Aerogels

Roepsch, Jodi Ann 12 1900 (has links)
Aerogels are highly porous, low dielectric constant (low k) materials being considered by the semiconductor industry as an interlayer dielectric. Low k materials are needed to overcome capacitance problems that limit device feature sizes. Precursors triethoxyfluorosilane (TEFS) and tetraethoxysilane (TEOS) were used to prepare bulk aerogels. Samples were prepared by sol-gel methods, and then carbon dioxide supercritically-dried. Effects of varying the water to precursor ratio were studied with respect to aerogel properties and microstructure. Methods of analysis for this study include FTIR-ATR, TEM, RBS, EDS, SEM, dielectric constant determination by impedance and surface area by gas adsorption. Si-F bonds were determined to be present in both acid- and base-catalyzed TEFS as well as HF-catalyzed TEOS. Fluorine promotes a fractal network microstructure as opposed to a particle-like microstructure. Surface area and dielectric constant were determined to increase slightly with increases in the water to precursor ratio.

Page generated in 0.0887 seconds