• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 40
  • 14
  • 7
  • 3
  • 2
  • 2
  • 2
  • 1
  • Tagged with
  • 76
  • 76
  • 24
  • 20
  • 17
  • 15
  • 14
  • 14
  • 11
  • 10
  • 10
  • 10
  • 10
  • 10
  • 9
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
51

Fundamental Studies of Copper Corrosion in Interconnect Fabrication Process and Spectroscopic Investigation of Low-k Structures

Goswami, Arindom 12 1900 (has links)
In the first part of this dissertation, copper bimetallic corrosion and its inhibition in cleaning processes involved in interconnect fabrication is explored. In microelectronics fabrication, post chemical mechanical polishing (CMP) cleaning is required to remove organic contaminants and particles left on copper interconnects after the CMP process. Use of cleaning solutions, however, causes serious reliability issues due to corrosion and recession of the interconnects. In this study, different azole compounds are explored and pyrazole is found out to be a potentially superior Cu corrosion inhibitor, compared to the most widely used benzotriazole (BTA), for tetramethyl ammonium hydroxide (TMAH)-based post CMP cleaning solutions at pH 14. Micropattern corrosion screening results and electrochemical impedance spectroscopy (EIS) revealed that 1 mM Pyrazole in 8 wt% TMAH solution inhibits Cu corrosion more effectively than 10 mM benzotriazole (BTA) under same conditions. Moreover, water contact angle measurement results also showed that Pyrazole-treated Cu surfaces are relatively hydrophilic compared to those treated with BTA/TMAH. X-ray photoelectron spectroscopy (XPS) analysis supports Cu-Pyrazole complex formation on the Cu surface. Overall Cu corrosion rate in TMAH-based highly alkaline post CMP cleaning solution is shown to be considerably reduced to less than 1Å/min by addition of 1 mM Pyrazole. In the second part, a novel technique built in-house called multiple internal Reflection Infrared Spectroscopy (MIR-IR) was explored as a characterization tool for characterization of different low-k structures.In leading edge integrated circuit manufacturing, reduction of RC time delay by incorporation of porous ultra low-k interlayer dielectrics into Cu interconnect nanostructure continues to pose major integration challenges. The main challenge is that porous structure renders interlayer dielectrics mechanically weak, chemically unstable and more susceptible to the RIE plasma etching damages. Besides the challenge of handling weak porous ultra low-k materials, a lack of sensitive metrology to guide systematic development of plasma etching, restoration and cleaning processes is the major stumbling block. We explored Multiple Internal Reflection Infrared Spectroscopy and associated IR techniques as a sensitive (sub-5 nm) characterization tool to investigate chemical bonding modification across fluorocarbon etch residues and low-k dielectric interface after plasma etching, ashing, UV curing and post-etch cleaning. The new insights on chemical bonding transformation mapping can effectively guide the development of clean-friendly plasma etch for creating ultra low-k dielectric nanostructures with minimal dielectric damages.
52

Electron microscopic studies of low-k inter-metal dielectrics

Singh, Pradeep Kumar 04 July 2014 (has links)
Die fortwährende Verkleinerung der Strukturbreiten in der Mikroelektronik erfordert es, herkömmliche SiO2 Dielektrika durch Materialien mit kleinerer Dielektrizitätskonstante zu ersetzen. Dafür sind verschiedene „low-k Materialien“ entwickelt worden. Unter diesen sind die Organosilikatgläser, die aus SiO2 Netzwerken mit eingelagerten Methylgruppen bestehen wegen ihrer ausgezeichneten Eigenschaften besonders interessant als Dielektrika zwischen metallischen Leiterbahnen. In dieser Arbeit sind fünf verschiedene dieser „low-k Materialien“ untersucht worden: ein dichtes und vier poröse Materialien, die alle durch plasmagestützte chemische Gasphasenabscheidung hergestellt wurden. Die strukturellen, chemischen und dielektrischen Eigenschaften der Materialien wurden mit Hilfe der analytischen Durchstrahlungselektronenmikroskopie unter Verwendung eines abbildenden GATAN-Energiespektrometers untersucht. Die Bestimmung der radialen Verteilungsfunktion (RDF) zur Charakterisierung der atomaren Nahordnung ermöglicht uns die Ermittlung mittlerer Bindungslängen und – winkel sowie der mikroskopischen Dichte des Materials. Gegenüber SiO2 wurden in den untersuchten „low-k Materialien“ stark veränderte mittlere Si-O, O-O und Si-Si Bindungslängen gefunden. Dieses wirkt sich natürlich auch auf die mittleren Si-O-Si bzw. O-Si-O Bindungswinkel aus, und wie erwartet war auch die mikroskopische Dichte der „low-k Materialien“ kleiner als die Dichte des SiO2. Elektronen Energieverlustspektroskopie (EELS) und Photoelektronenspektroskopie (XPS) wurden zur Charakterisierung der chemischen Umgebung der Atome in den „low-k Materialien“ herangezogen. Die Energien von Ionisationskanten und die Bindungsenergien der Silizium-2p und Sauerstoff-1s Elektronen waren in den „low-k Materialien“ größer als im SiO2. Die Kohlenstoffatome kamen in den „low-k Materialien“ sowohl sp2 als auch sp3 hybridisiert vor. sp2-Hybridisierung liegt vor, wenn Bindungen wie Si=CH2 und C=C im Netzwerk vorkommen, während sp3 Hybridisierung z.B. dann vorkommt, wenn freie Si-Bindungen durch –CH3 Gruppen abgesättigt werden. Die Anteile an sp2- bzw. sp3-hybridisierten Kohlenstoffatome wurden aus der Feinstruktur der K-Energieverlustkanten des Kohlenstoffs abgeschätzt. Das ergab, daß die meisten Kohlenstoffatome in den „low-k Materialien“ sp2-hybridisiert sind. Die dielektrischen Eigenschaften wurden durch Kramers-Kronig-Transformation einer Energieverlustfunktion ermittelt, die aus dem Niedrigverlust-EELS-Spektrum im Bereich der Plasmonenanregungen gewonnen wurde. Die Bandlücke des SiO2 beträgt ungefähr 9 eV während dichte „low-k Materialien“ aufgrund der Unregelmäßigkeiten in ihrem SiO2-Netzwerk zusätzliche Zustandsdichten innerhalb der Bandlücke aufweisen. Die Erzeugung von Poren im „low-k Material“ vermindert offenbar die Zustandsdichte im Bereich der Bandlücke und erweitert diese im Vergleich zum SiO2. Eine Modellrechnung mit der Dichtefunktionaltheorie für ein Strukturmodell, das den „low-k Materialien“ nahe kommt, ist zum Vergleich mit der experimentell gefundenen kombinierten Zustandsdichte herangezogen worden und zeigt eine gute Übereinstimmung. Die im Standard-Herstellungsprozeß vorkommenden Verfahren des Plasmaätzens und der Plasmaveraschung können die Struktur des „low-k Materials“ z.B. an den Seitenwänden von Ätzgräben verändern. Die gestörten Bereiche wurden mit der energiegefilterten Elektronenmikroskopie untersucht. Dabei wurde gefunden, daß sich die Strukturveränderungen der Seitenwände bis zu einer Tiefe in der Größenordnung von ungefähr 10 Nanometern erstrecken. Diese Bereiche sind verarmt an Kohlenstoff und ähneln folglich mehr einem SiO2-Dielektrikum. Die Kohlenstoffverarmung erstreckt sich in die „low-k Schicht“ in Form eines gaussartigen Profils mit maximaler Kohlenstoffkonzentration in der Mitte der Schicht. Die Sauerstoffkonzentration und die mikroskopische Dichte steigen in der Nähe der Seitenwände.:TABLES OF CONTENTS LIST OF FIGURES AND TABLES IX 1 INTRODUCTION 1 1.1 Motivation 1 1.2 Low-k dielectric material trends 2 1.3 Required properties of low-k dielectric materials 7 1.4 Technical issues of low-k dielectric materials 7 1.5 Research objectives 8 2 EXPERIMENTAL TECHNIQUES 10 2.1 Transmission electron microscopy 10 2.2 Interaction of fast electrons with a solid specimen 11 2.3 Electron energy-loss spectroscopy 16 2.4 Elemental quantification 19 3 RADIAL DISTRIBUTION FUNCTION 21 3.1 Introduction 21 3.2 Physical aspects of an electron diffraction experiment 22 3.3 Merits and demerits of electron diffraction analysis 24 3.4 Results and Discussion 25 3.5 Conclusion 33 4 CHEMICAL STATE ANALYSIS OF LOW-K DIELECTRIC MATERIALS: AN EELS AND XPS STUDY 34 4.1 EELS analysis 34 4.2 Spectra post-acquisition processing 35 4.3 XPS analysis 37 4.4 Results and Discussion 39 4.5 Conclusion 48 5 DIELECTRIC RESPONSE OF LOW-K DIELECTRIC MATERIALS 49 5.1 Introduction 49 5.2 Exact determination of the loss-function 49 5.3 Fourier-log deconvolution 50 5.4 Kramers-Kronig analysis 51 5.5 Model fitting of dielectric functions: Lorentz oscillator model 52 5.6 Data processing 54 5.7 Results and Discussion 54 5.8 Conclusion 65 6 SIDE-WALL DAMAGE ANALYSIS 66 6.1 Introduction 66 6.2 Energy-filtered transmission electron microscopy (EFTEM) 67 6.3 Merits of EFTEM 69 6.4 Results and Discussion 70 7 SUMMARY, CONCLUSION AND SUGGESTIONS FOR THE FUTURE WORK 88 7.1 Summary 88 7.2 Conclusion 89 7.3 Suggestions for the future work 90 8 REFERENCES 92 9 APPENDIX 100 9.1 Appendix A: Script for normalization of loss function. 100 9.2 Appendix B: Kramers-Kronig analysis script 101 9.3 Appendix C: Sum rule for verification of Kramers-Kronig relation 102 9.4 Appendix D: Lorentz oscillator model 103 9.5 Appendix E: EFTEM image spectrum script 104 10 SELBSTÄNDIGKEITSERKLÄRUNG 108
53

Electromigration enhanced kinetics of Cu-Sn intermetallic compounds in Pb free solder joints and Cu low-k dual damascene processing using step and flash imprint lithography

Chao, Huang-Lin 02 June 2010 (has links)
This dissertation constitutes two major sections. In the first major section, a kinetic analysis was established to investigate the electromigration (EM), enhanced intermetallic compound (IMC) growth and void formation for Sn-based Pb-free solder joints to Cu under bump metallization (UBM). The model takes into account the interfacial intermetallic reaction, Cu-Sn interdiffusion, and current stressing. A new approach was developed to derive atomic diffusivities and effective charge numbers based on Simulated Annealing (SA) in conjunction with the kinetic model. The finite difference (FD) kinetic model based on this approach accurately predicted the intermetallic compound growth when compared to empirical observation. The ultimate electromigration failure of the solder joints was caused by extensive void formation at the intermetallic interface. The void formation mechanism was analyzed by modeling the vacancy transport under electromigration. The effects of current density and Cu diffusivity in Sn solder were also investigated with the kinetic model. The second major section describes the integration of Step and Flash Imprint Lithography (S-FIL®) into an industry standard Cu/low-k dual damascene process. The yield on a Back End Of the Line (BEOL) test vehicle that contains standard test structures such as via chains with 120 nm vias was established by electrical tests. S-FIL shows promise as a cost effective solution to patterning sub 45 nm features and is capable of simultaneously patterning two levels of interconnect structures, which provides a low cost BEOL process. The critical processing step in the integration is the reactive ion etching (RIE) process that transfers the multilevel patterns to the inter-level dielectrics (ILD). An in-situ, multistep etch process was developed that gives excellent pattern structures in two industry standard Chemical Vapor Deposited (CVD) low-k dielectrics. The etch process showed excellent pattern fidelity and a wide process window. Electrical testing was conducted on the test vehicle to show that this process renders high yield and consistent via resistance. Discussions of the failure behaviors that are characteristic to the use of S-FIL are provided. / text
54

Élaboration et caractérisation de matériaux à très faible constante diélectrique de type a-SiOCH élaborés par PECVD : application aux interconnexions des circuits intégrés

Gourhant, Olivier 10 December 2008 (has links) (PDF)
L'amélioration des performances des circuits intégrés nécessite le développement de nouveaux matériaux comme, par exemple, les diélectriques à très faible permittivité, appelés Ultra Low-K (K<=2,5). Cette étude se focalise sur les matériaux a-SiOCH poreux déposés en couche mince par PECVD suivant une approche dite « porogène ». Cette approche consiste en le dépôt d'une matrice de type a-SiOCH contenant des inclusions organiques qui sont dégradées dans un second temps, grâce à l'utilisation d'un post-traitement, afin de créer la porosité. La première partie de cette étude montre que l'extension de l'approche porogène a permis d'élaborer des matériaux ayant des constantes diélectriques pouvant atteindre 2,25 en utilisant un procédé industriel avec, comme type de post-traitement, un recuit thermique assisté par rayonnement UV. Certains matériaux ont été intégrés dans des démonstrateurs. Puis, dans un second temps, l'impact du procédé d'élaboration sur la structure chimique du matériau a été analysé afin de mieux comprendre son comportement mécanique. Enfin, la mise en place d'une technique de caractérisation a permis la mesure des différentes contributions de la constante diélectrique (électronique, ionique et dipolaire). L'évolution de ces composantes en fonction des paramètres d'élaboration a ainsi pu être étudiée.
55

GRAVURE ET TRAITEMENT PAR PLASMA DE MATERIAUX ORGANOSILICIES SIOC(H) POUR DES APPLICATIONS EN LITHOGRAPHIE AVANCEE ET COMME ISOLANT D'INTERCONNEXION EN MICROELECTRONIQUE

Eon, David 01 October 2004 (has links) (PDF)
L'objet de cette étude est la gravure par plasma de matériaux hybrides SiOC(H) qui sont de nouveaux composés émergents. Leurs propriétés ajustables entre composés organiques et inorganiques leurs donnent de grandes potentialités. Ce travail est dédié à deux applications particulières en microélectronique.<br />Dans un premier temps, notre étude s'est portée sur leurs applications en lithographie optique dans le cadre d'un projet européen (157 CRISPIES n° 2000 30-143) où sont développés de nouveaux polymères contenant un nanocomposé, la molécule POSS (Si8O12) (Polyhedral oligomeric silsesquioxane). Ces polymères pourraient être utilisés dans un procédé de lithographie bicouche car ils sont faiblement absorbants pour les futurs rayonnements, UV à 157 nm, ou X à 13,5 nm. L'analyse de leur surface avant gravure a été particulièrement poussée grâce à une utilisation avancée des mesures XPS. Ce travail a mis en évidence la ségrégation en surface de la molécule POSS. Afin de caractériser la phase de développement plasma du procédé bicouche, ces matériaux ont été gravés en plasma d'oxygène. Des analyses XPS et ellipsométriques montrent le rôle joué par la couche d'oxyde qui se forme à la surface de ces matériaux. Une corrélation est faite entre l'épaisseur de l'oxyde mesurée par XPS et la consommation totale du polymère mesurée par ellipsométrie. L'ensemble de ces résultats nous a amené à développer un modèle cinétique permettant de comprendre les mécanismes de gravure de ces nouveaux composés en plasmas oxydants.<br />Dans un deuxième temps, nous avons étudié l'utilisation de SiOC(H) comme isolant d'interconnexion. En effet, ces matériaux présentent une permittivité électrique plus faible que celle de l'oxyde de silicium classiquement utilisé en microélectronique, on les appelle low-k. Ils permettent d'améliorer les vitesses de transmission des informations au sein des puces. Les plasmas fluorocarbonés (C2F6) avec différents additifs (O2, Ar, H2) ont été utilisés à la fois pour obtenir une vitesse de gravure élevée mais aussi une sélectivité importante avec la couche d'arrêt SiC(H). L'addition d'hydrogène permet d'augmenter la sélectivité tout en conservant une vitesse de gravure élevée. Les caractérisations de surface par XPS quasi in situ montrent tout d'abord que la composition du matériau est modifiée sur quelques nanomètres, avec une diminution de la quantité de carbone. Ensuite, pour les plasmas de C2F6/H2 et C2F6/Ar, une couche fluorocarbonée se superpose à cette couche modifiée et son épaisseur est corrélée aux vitesses de gravure. Des mesures du flux ionique et de la quantité de fluor atomique permettent de mieux appréhender les mécanismes de gravure qui régissent ces matériaux.
56

Post Plasma Etch Residue Removal Using Carbon Dioxide Based Fluids

Myneni, Satyanarayana 06 November 2004 (has links)
As feature sizes in semiconductor devices become smaller and newer materials are incorporated, current methods for photoresist and post plasma etch residue removal face several challenges. A cleaning process should be environmentally benign, compatible with dielectric materials and copper, and provide residue removal from narrow and high aspect ratio features. In this work, sub-critical CO2 based mixtures have been developed to remove the etch residues; these mixtures satisfy the above requirements and can potentially replace the two step residue removal process currently used in the integrated circuit (IC) industry. Based on the chemical nature of the residue being removed, additives or co-solvents to CO2 have been identified that can remove the residues without damaging the dielectric layers. Using the phase behavior of these additives as a guide, the composition of the co-solvent was altered to achieve a single liquid phase at moderate pressures without compromising cleaning ability. The extent of residue removal has been analyzed primarily by x-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM). Various techniques such as attenuated total reflection - Fourier transform infrared (ATR-FTIR) spectroscopy, angle-resolved XPS (ARXPS), and interferometry were used to probe the interaction of cleaning fluids with residues. Model films of photoresists and plasma deposited residues were used to assist in understanding the mechanism of residue removal. From these studies, it was concluded that residue removal takes place primarily by attack of the interface between the residue and the substrate; a solvent rinse then lifts these residues from the wafer. It has been shown that transport of the additives to the interface is enhanced in the presence of CO2. From positronium annihilation lifetime spectroscopy (PALS) studies on a porous dielectric film, it has been shown that these high pressure fluids do not cause significant changes to the pore sizes or the bonding structure of the film. Hence, this method can be used to remove post etch residues from low-k dielectric films.
57

Gravure en plasma dense fluorocarboné de matériaux organosiliciés à faible constante diélectrique (SiOCH, SiOCH poreux). Etude d'un procédé de polarisation pulsée.

Raballand, Vanessa 05 July 2006 (has links) (PDF)
En micro-électronique, la performance des circuits intégrés est limitée par l'augmentation des délais d'interconnexions. Une solution est de remplacer le diélectrique d'interniveaux conventionnel (SiO2) par un matériau à plus faible constante diélectrique (low-k). Cette étude concerne la gravure de matériaux low-k SiOCH et SiOCH poreux, et la gravure de la couche barrière SiCH et du masque dur SiO2. La sélectivité de gravure des low-k par rapport à SiCH et SiO2 est un critère important à obtenir. De plus, l'étape de gravure ne doit pas modifier considérablement la constante diélectrique du matériau. Enfin, la gravure de motifs doit être anisotrope. Pour atteindre ces objectifs, un meilleur contrôle du procédé de gravure et une meilleure compréhension des mécanismes de gravure sont souhaités. <br />La gravure des matériaux est réalisée en plasma fluorocarboné (CHF3) additionné ou non de H2 ou Ar, dans un réacteur à couplage inductif (ICP), dans lequel le substrat est polarisé négativement. Ce procédé a été modifié en appliquant une polarisation pulsée au substrat (1 Hz à 10 kHz). Dans cette configuration, l'énergie des ions est pulsée. L'influence des conditions de pulse (fréquence, et rapport cyclique rc=TON/T) sur la gravure des matériaux SiOCH poreux, SiOCH, SiCH, SiO2, et Si est étudiée. En particulier, en diminuant le rapport cyclique, ce procédé pulsé fournit d'excellents résultats concernant la gravure sélective de SiOCH poreux vis à vis de SiCH et SiO2. <br />Pour optimiser le procédé de gravure, une meilleure compréhension de l'interaction plasma-surface, et par suite des mécanismes de gravure, est indispensable. Pour cela, des analyses de surface (XPS, ellipsométrie spectroscopique, MEB) sont corrélées à des analyses du plasma (spectrométrie de masse, spectroscopie d'émission optique, sonde de Langmuir, sonde plane). En particulier, durant cette thèse, le diagnostic de sonde plane a été développé. Il permet une mesure précise du flux d'ions, qui peut alors être mesuré en temps réel en plasma polymérisant, électronégatif et instable. En comparant ces différents diagnostics, nous concluons que les mécanismes de gravure en polarisation pulsée sont similaires à ceux en polarisation continue. Toutefois, le procédé de gravure diffère. Aussi, pour comprendre ce procédé, un modèle décrivant les vitesses de gravure en fonction de la tension de polarisation a été développé. En résumé, lorsque aucune tension n'est appliquée (phase OFF), un film fluorocarboné se dépose à la surface des matériaux. Puis, à l'application d'une tension (phase ON), une énergie des ions supérieure à celle obtenue en polarisation continue est nécessaire pour graver ce dépôt puis graver le matériau. De plus, la gravure du matériau en polarisation pulsée s'opère à travers un film fluorocarboné plus riche en fluor par rapport au mode continu : La gravure des matériaux en est améliorée. Le modèle, tenant compte de cet état de surface, décrit alors correctement les seuils et vitesses de gravure des différents matériaux en polarisation pulsée.
58

Das Konzept des effektiven Indenters für die Ermittlung des Elastizitätsmoduls und der Fließgrenze dünner Schichten

Herrmann, Matthias 01 July 2010 (has links) (PDF)
Nanoindentations-Messungen haben in den letzten Jahrzehnten als Verfahren zur Ermittlung mechanischer Eigenschaften dünner Schichten stark an Bedeutung gewonnen. Für die Gewinnung eines tiefergreifenden Verständnisses des mechanischen Verhaltens dieser Schichten ist die Kenntnis des Elastizitätsmoduls und der Fließgrenze von essentieller Bedeutung – nicht zuletzt, da diese auch als Eingabeparameter für Simulationen des Materialverhaltens gefordert sind. Eine noch nicht im Detail verstandene Forschungsfrage bei der Kennwertermittlung ist die Berücksichtigung des Dünnschichtcharakters der Proben, deretwegen diese Untersuchungen im Wesentlichen immer noch einen Grundlagencharakter tragen und derzeit Gegenstand intensiver weltweiter Forschung sind. Auswege für eine solche Berücksichtigung existieren bisher nur für wenige Anwendungsfälle. Das Konzept des effektiven Indenters stellt eine Erweiterung der Auswerteansätze und damit neue Möglichkeit für die mechanische Charakterisierung der Dünnschichteigenschaften dar. In der vorliegenden Arbeit wird untersucht, inwieweit dieses Konzept zur Ermittlung des Elastizitätsmoduls dünner Schichten geeignet ist. Ebenso werden die Untersuchungen auf die Fließgrenze ausgeweitet. Beispielhaft kommen unterschiedliche Schichtmaterialien zum Einsatz, mit denen der Unterschied zwischen den Schicht-Substrat-Eigenschaften – Elastizitätsmodul und Fließgrenze – variiert werden kann. Durch Vergleich der für die BERKOVICH-Eindrücke erhaltenen Ergebnisse zu den mittels der Kugeleindrucksversuche bestimmten Werte – als etabliertes Messverfahren – wird festgestellt, dass o. g. Konzept prinzipiell für die oben angeführten Fragestellungen geeignet ist, insofern die erreichten Eindringtiefen im Vergleich zur Schichtdicke relativ gering sind. Physikalische Ursachen für dieses Verhalten werden vorgeschlagen und diskutiert. Ebenso wird eine spezielle Vorgehensweise des Konzepts des effektiven Indenters für die Charakterisierung von porösen sowie nichtporösen Low-k-Schichtmaterialien untersucht. Zusätzlich werden Finite-Elemente-Simulationen für grundlegende Betrachtungen zur Wirkungsweise des o. g. Konzepts anhand von massiven Proben herangezogen. / Considerable research effort has focused on measuring the mechanical properties of thin films via nanoindentation. To characterize the mechanical behavior of thin films, accurate determination of Young’s modulus and yield strength is required. For the purpose of modeling and dimensioning, these quantities serve as input parameters as well. An existing major challenge in the context of (nanoindentation) data analysis is the complete consideration of the layered structure of the specimen. In the literature, a few experimental and theoretical-based approaches have been developed to extract actual film properties. However, those approaches are only applicable under specific conditions and, hence, the problem is not satisfyingly solved to date. Therewith, investigations of accurately assessing mechanical properties of thin films, in general, or Young’s modulus and yield strength, in detail, are still part of ongoing research in the field of mechanical testing in materials research and development. The concept of the “effective indenter” is an extension of the current and established analysis of nanoindentation data and is a new possibility to determine mechanical properties of thin films. In this work, an investigation is given concerning the suitability of the model, in a specific approximation, for determining Young’s modulus of thin films. In a second step, the investigations are focused on the determination of yield strength. Film/substrate composites having a varying ratio of modulus and yield strength between film and substrate are chosen; BERKOVICH indentations are analyzed and spherical indentation experiments are used as second and independent technique. It is shown that the model is suitable to deliver Young’s modulus of thin films. However, a critical ratio of indentation depth to film thickness is identified; for ratios above this critical value, the model, in the present approximation, can no longer be used. Physical mechanisms that explain this finding are suggested and discussed. Moreover, the above-mentioned model is used to characterize the very specific class of materials of non-porous and porous low-k dielectric thin films in terms of yield strength and Young’s modulus. Finally, finite element modeling is used to study critical issues in applying the model of the “effective indenter” and its specific approximation used here for analysis of nanoindentation data for bulk materials.
59

Fiabilité des diélectriques low-k SiOCH poreux dans les interconnexions CMOS avancées

Chery, Emmanuel 17 February 2014 (has links) (PDF)
Avec la miniaturisation continue des circuits intégrés et le remplacement de l'oxyde de silicium par des diélectriques low-k poreux à base de SiOCH, la fiabilité des circuits microélectroniques a été fortement compromise. Il est aujourd'hui extrêmement important de mieux appréhender les mécanismes de dégradation au sein de ces matériaux afin de réaliser une estimation précise de leur durée de vie. Dans ce contexte, ces travaux de thèse ont consisté à étudier les mécanismes de dégradation au sein du diélectrique afin de proposer un modèle de durée de vie plus pertinent. Par une étude statistique du temps à la défaillance sous différents types de stress électrique, un mécanisme de génération des défauts par impact est mis en évidence. En l'associant au mécanisme de conduction au sein du diélectrique, il a été possible de développer un modèle de durée de vie cohérent pour les interconnexions permettant une estimation de la durée de vie plus fiable que les modèles de la littérature. L'impact du piégeage de charges dans le diélectrique a ensuite été analysé grâce à ce modèle.
60

Electroplated multi-path compliant copper interconnects for flip-chip packages

Okereke, Raphael Ifeanyi 22 May 2014 (has links)
The international technology roadmap for semiconductors (ITRS) 2012 report foresees the use of porous dielectric materials with a low dielectric constant in conjunction with copper interconnects as a way to reduce the resistive-capacitive (RC) delay in microelectronic applications. However, the introduction of pores in the dielectric not only serves as stress raisers but also diminishes the structural strength of the material. The challenge therefore with the implementation of low-k dielectrics for high-performance flip-chip packages is to create a reliable die to organic substrate interconnect solution which induces low stresses on the die to prevent the cohesive cracking and the interfacial delamination of the dielectric material. Potential interconnect solutions that meet this challenge are MEMS-like compliant freestanding micro-structures. These structures are designed to work as spring-like elements which allow the free lateral and out-of-plane motion between the silicon die and the organic substrate under assembly conditions as well as under thermal or power cycling. Thus, the focus of this research is to design, fabricate, and characterize electrically and mechanically an innovative compliant interconnect approach that addresses these challenges. The proposed interconnect is scalable in dimensions and pitch, and consists multiple electrical paths which will provide redundancy against interconnect failure. The multi-path design employs parallel electrical paths which effectively split a larger cross-sectional area into several smaller areas making the overall design more compliant than otherwise. This research proposes wafer-level, high-yield, CMOS-compatible fabrication procedure using sequential photolithography and copper electroplating. The proposed interconnects are symmetric and are amenable to easy reflow assembly to substrates. The mechanical compliance of the fabricated structures is studied through nano-indentation, while the electrical characteristics are assessed through fabricated prototypes. The xvi thermo-mechanical reliability of compliant interconnects is also demonstrated. Lastly, the dimensional scalability of the interconnects is also demonstrated.

Page generated in 0.0451 seconds