• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 34
  • 15
  • 6
  • 5
  • 3
  • 2
  • 2
  • 1
  • 1
  • 1
  • 1
  • Tagged with
  • 75
  • 21
  • 12
  • 8
  • 7
  • 6
  • 6
  • 6
  • 5
  • 4
  • 4
  • 4
  • 4
  • 3
  • 3
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Structural-metamorphic imprint on part of the Namaqua mobile belt in Southwest Africa

Blignault, H J 02 October 2023 (has links) (PDF)
The cross-section of the part of the Namaqua Mobile Belt investigated, comprises tectonic domains differing in structural and metamorphic character. The upper-crustal Richtersveld Province is separated from the lower-crustal central zone by a marginal zone across which there is a sharp increase in P and T. A continuous prograde metamorphic zonation is established which is bounded on the low-grade side by the 'hornblende in' reaction and includes with increasing grade 'muscovite+ chlorite out', andalusite/sillimanite inversion, minimum melt line, •·epidote out', 'K-felspar + sillimanite in' and a brown hornblende zone. The PT conditions inferred for the K-felspar + sillimanite zone is in the order of 6 kb and 740°c. This metamorphic zonation is defined by the metamorphic peak at any one point and is associated with the early structures. Subsequent deformations indicate a continuous retrogression. The early kinematic event includes at least two phases of coaxial and coplanar folding giving rise to the main planar fabric which is interpreted as a shear surface. It is concluded that the first kinematic event constitutes a thrust regime. The latE kinematic event is represented in the central zone by two phases of macroscopic folding which yielded basin and dome structures. To accommodate the resultant lateral shortening in the central zone, the Kanabeam shear zone developed between the central zone and the more upper-crustal domains where the late phase folding is not developed. Two discrete magmatic events, yielding differentiated intrusive are closely related in time to the early kinematic event. These intrusives underlie at least 50 per cent of the area. The Vioolsdrif Suite (1900 Ma) is genetically related to the Orange River volcanics. The intersected volcanics (2000 Ma) and intrusives form the Vioolsdrif igneous complex which is correlated with the grey gneiss of the lower-crustal domains. In the high-grade central zone aluminous paragneisses structurally overlie the grey gneisses and are interpreted as a mudstone/wacke sequence. The early kinematic event, associated with thrusting, the main metamorphism and extensive intrusion, constitutes the main phase of the Namaqua tectogenesis which connnenced at least at about 1900 Ma. The late kinematic event is associated with lateral movement and shortening during the waning stages of the Namaqua tectogenesis at about 1000 Ma.
2

Materials and processes for advanced lithography applications

Jen, Wei-Lun Kane 25 January 2011 (has links)
Step and Flash Imprint Lithography (S-FIL) is a high resolution, next-generation lithography technique that uses an ambient temperature and low pressure process to replicate high resolution images in a UV-curable liquid material. Application of the S-FIL process in conjunction with multi-level imprint templates and new imprint materials enables one S-FIL step to reproduce the same structures that require two photolithography steps, thereby greatly reducing the number of patterning steps required for the copper, dual damascene process used to fabricate interconnect wirings in modern integrated circuits. Two approaches were explored for the implementation of S-FIL in the dual damascene process: sacrificial imprint materials and imprintable dielectric materials. Sacrificial imprint materials function as a pattern recording medium during S-FIL and a three-dimensional etch mask during the dielectric substrate etch, enabling the simultaneous patterning of both the via and metal structures in the dielectric substrate. Development of sacrificial imprint materials and the associated imprint and etch processes are described. Application of S-FIL and the sacrificial imprint material in a commercial copper dual damascene process successfully produced functional copper interconnect structures, demonstrating the feasibility of integrating multi-level S-FIL in the copper dual damascene process. Imprintable dielectric materials are designed to combine the multi-level patterning capability of S-FIL with novel dielectric precursor materials, enabling the simultaneous deposition and patterning of the interlayer dielectric material. Several candidate imprintable dielectric materials were evaluated: sol-gel, polyhedral oligomeric silsesquioxane (POSS) epoxide, POSS acrylate, POSS azide, and POSS thiol. POSS thiol shows the most promise as functional imprintable dielectric material, although additional work in the POSS thiol formulation and viscous dispense process are needed to produce functional interconnect structures. Integration of S-FIL with imprintable dielectric materials would enable further streamlining of the dual damascene fabrication process. The fabrication of electronic devices on flexible substrates represents an opportunity for the development of macroelectronics such as flexible displays and large area devices. Traditional optical lithography encounters alignment and overlay limitations when applied on flexible substrates. A thermally activated, dual-tone photoresist system and its associated etch process were developed to enable the simultaneous patterning of two device layers on a flexible substrate. / text
3

Design, synthesis, and application of lithographic resists and nonlinear optical materials

Long, Brian Keith 13 September 2010 (has links)
Fluorinated norbornene monomers exhibit the requisite properties for inclusion in 157 nm photoresists, but traditional addition and radical polymerizations with these monomers have failed. Norbornanediols provide an alternate route to these materials via condensation polymerization, and methods have been developed for the efficient synthesis of the exo-2-syn-7- and endo-2-exo-3-dihydroxynorbornanes. Synthesis of the fluorinated analogues is complicated by steric and electronic effects; however, a high-yielding synthesis of endo-2-exo-3-dihydroxynorbornane bearing a 5-endo-[2,2-bis(trifluoromethyl)hydroxyethyl] substituent as well as its corresponding polymer are reported. As an alternative to 157 nm and other optical lithographies, Step and Flash Imprint Lithography, or S-FIL®, was introduced in 1999 by The University of Texas at Austin. It has proven to be a cost effective, high resolution alternative to traditional optical lithography. Often in the S-FIL process, residual resist may become imbedded within the template features resulting in device defects due to the imprint and repeat nature of S-FIL. The high silicon and cross-linking content of the resist formulations are extremely difficult, if not impossible to remove from quartz imprint mold without template degradation. Our approach to this problem was the synthesis of a family of thermally reversible, cross-linkable monomers that will facilitate resist removal while maintaining template integrity. Our monomers utilize classic Diels-Alder chemistry to provide thermal reversibility, while pendant acrylate functionalities facilitate cross-linking. Herein we report the synthesis of several Diels-Alder compounds, incorporate them into resist formulations, and test their efficacy for resist removal. In an effort to develop unique patternable materials, our laboratory is currently engaged in the design and development of photonic crystals comprised of organic elements with highly stable electro-optic activity. Fabrication of these devices requires polymers that can be patterned at high resolution, have large second order nonlinear optical (NLO) coefficients, and that are thermally stable after poling. Our route to these materials involves the synthesis of a prepolymer that can be spin coated, poled, and then fixed by a photochemical cross-linking reaction. We now describe an efficient synthetic route to a new class of biscross-linkable monomers and the characteristics of their corresponding nonlinear optical polymers. / text
4

Development of a Molecularly Imprinted Polymer for Use in Biomolecule Detection

Cimeno, Arielle January 2009 (has links)
Thesis advisor: Thomas Chiles / Molecular recognition is an important area of research as it has far reaching applications in sensors, molecular separations, and medicine. Molecularly imprinted polymers offer an option for developing high resolution tools of detection that are both selective and sensitive. As a platform, carbon nanotubes offer a highly conductive surface and their growth and unique magnetic properties can be manipulated for our purposes. Such carbon-nanotube based sensors can afford high sensitivity, while molecular imprinting provides the selectivity of detection with the flexibility of fabrication. In order to fabricate a molecular imprint, monomeric compounds are polymerized in the presence of a target molecule of interest, which acts as the template. Once the template molecule has been removed an imprint capable of “recapturing” the target molecule is left behind. In this work we used cyclic voltammetry as a means of depositing polymer coatings doped with a target molecule. We fabricated a molecularly imprinted polymer sensor specific for ferritin using polyphenol as the polymer. The development of our imprint was monitored based on changes in impedance levels calculated by electrochemical impedance spectroscopy. After depositing ferritin-doped polyphenol layers we evaluated the effectiveness of different eluant solutions. Ultimately, deionized water was determined to be the developing solution of choice because it effectively removed the ferritin while not compromising the integrity of the remaining polymer coating. The sensor was capable of detecting ferritin at a concentration of 1x10-9 g/L (1 pg/mL). In parallel we evaluated the stability of the polyphenol coating. / Thesis (BS) — Boston College, 2009. / Submitted to: Boston College. College of Arts and Sciences. / Discipline: College Honors Program. / Discipline: Biology.
5

The performance of a PEMFC electrode with a stepped micro structure

Lin, Po-Hsuan 09 September 2008 (has links)
Producing more reaction regions on the PEMFC cathode using cavities with micro structures can be used to add more reaction points on the electrode. The original ladder type cavity is limited by the laser diffraction in its manufacture. The flat electrode has its performance improved for 58%. The energy density is increased from 462 mW/cm2 to 720mW/cm2. Pressure required in the micro-structure imprinting is reduced, so as the fuel transportation blocked by mold releasing. The total catalyst amount is cut from 0.5mg/cm2 to 0.25mg/cm2. No obvious performance drop can be found. This shows that most of the reactions happen in the region between catalyst layer and micro hole layer. Limited by the pattern of micro-structure, the electrospray does not perform as expected. In the future, this issue should be considered in making micro-structure.
6

Dual field nano precision overlay

Yin, Bailey Anderson 03 January 2011 (has links)
Currently, the imprint lithography steppers are designed to only pattern one field of 26 x 33 mm at a time. This choice is based on the desire to mix-and-match to the standard optical lithography tools whose field size is also 26 x 33 mm. Throughput can be increased if more than one field can be imprinted simultaneously. The problem with adding a field to the imprinting template is that each field has overlay errors associated with it that are created when the template is manufactured and when the corresponding prior field is manufactured on the wafer. The current process is able to correct these template and wafer overlay errors using a precision stage and actuators that elastically deform the template. The same method cannot be used when there are two fields because the fields are not independent and interact with each other. Correcting the errors in one of the fields tend to increase the error in the second field. vii In this thesis, a new control method has been created to account for the dependent motion. A new template concept was also created to try to limit the interaction between the two fields. The new control algorithm was tested in simulation to see if it could correct the current 1-field setup as well as the new concept of having more than one field on a template. The control algorithm was also used to test applications where the overlay errors in only one direction need to be corrected. The control algorithm was tested on a solid single field template, the baseline case, and was able to achieve 1.3 nm overlay, which is consistent with the current method. The algorithm was then tested on the dual field concepts. The range of alignment errors needed to get 5 nm overlay are too tight for current manufacturing but the compliant concept did have more relaxed ranges than the solid dual field template. With more research, the compliant template concept might be changed to allow for wider ranges. The tests with correction in only one direction had promising data that should be investigated further. / text
7

Development and optimization of shape-specific, stimuli-responsive drug delivery nanocarriers using Step and Flash Imprint Lithography

Caldorera-Moore, Mary 30 September 2010 (has links)
The advent of highly sophisticated drugs designed to interfere with specific cellular functions has created the demand for “intelligent” carriers that can efficiently deliver therapeutic agents in response to a pathophysiogical condition. Nanoscale intelligent systems can maximize the efficacy of therapeutic treatments in numerous ways because they have the ability to rapidly detect and response to disease states directly at the site and sparing physiologically healthy cells and tissues, thereby improving a patient’s quality of life. Nanoparticle fabrication has primarily relied on emulsions, self-assembly and micelles based methods which inherently generate polydisperse spherical particles with little control over particle geometry. Despite significant progress in such drug delivery systems, critical limitations remain in synthesizing nanocarriers with highly controllable architecture (size, shape or aspect ratio) that can, at the same time, impart response-sensitive release mechanisms. These parameters are essential for controlling the in-vivo transport, bio-distribution, and drug release mechanisms. The objective of my dissertation is to employ the nanofabrication technique Step and Flash Imprint Lithography (S-FIL) to synthesize stimuli-responsive nanocarriers of precise architectures and composition. Applying S-FIL technology, fabrication of nanocarriers of a variety of shapes and sizes (down to 36nm length scale) that are also environmentally responsive by incorporating enzymatically-degradable peptides into the nanocarrier hydrogel matrix, to provide triggered release of encapsulated therapeutic agents in response to specific pathophysiological conditions, has been accomplished. Besides disease-responsive release, the two key properties of an effective nanocarrier are (a) efficient targeting to specific tissues and cells and (b) avoiding rapid clearance and remaining in circulation in the blood stream for a significant amount of time to increase particle uptake in target tissues. These two properties are expected to be dependent on the shape and size of the carriers. Using various shape and size S-FIL fabricated nanoparticles, the effects of particle geometry on intracellular uptake has also been evaluated. In this dissertation, I will present the extensive work that has been done in the fabrication and optimization of the S-FIL nanocarriers, evaluation of the nanocarrier’s in vitro properties, and evaluation of the effects of nanocarrier geometry on intracellular uptake. / text
8

Applications of Imprint and Electroless Silver Plating on TFT Processes

Sher, Kun-Lin 26 July 2005 (has links)
This study presents thin film transistor (TFT) electrode structures in flat panel displays by imprint and electroless silver plating techniques. Imprint technique is not limited to the physical properties of optical lithography. In the imprinting process, the glass mold designed for imprinting process is fabricated by semiconductor manufacturing technology to imprint photoresist (AZ-650). The material is evaluated for imprint process. In addition, at present, electrode materials used in TFT process are aluminum (Al), chromium (Cr) and so on. In other research, the thin film plating technique adopts sputtering process to manufacture TFT electrode structures. This study uses electroless silver plating process to fabricate TFT electrode structures. The experimental result shows that the silver film can be deposited on the glass wafer by electroless plating, The mechanical properties of the silver films such as hardness, coefficient of elasticity and Young¡¦s module are measured by nanoindentation system,compared with the bulk materials.
9

Investigation of a roll-to-roll nanoimprinting process utilizing inkjet based resist deposition

Kincaid, Matthew Michael 08 February 2012 (has links)
A high-speed, large-area technique capable of nanopatterning flexible substrates is highly desirable in several applications such as; 1) thin film photovoltaics (TFPV's), 2) flexible electronics, 3) optoelectronics, 4) energy storage devices and 5) biological applications. Flexible substrates are attractive as they can be lower in cost than traditional substrates, and provide the ability to perform continuous processing both of which are valuable for cost sensitive applications such as TFPVs. Also, flexible substrates can conform to non-planar surfaces and therefore provide versatility in applications such as wearable electronics and biomedical devices. In this thesis, a patterning approach known as Jet and Flash Imprint Lithography (J-FIL) is explored for flexible substrates. J-FIL uses inkjets to deposit low-viscosity UV curable polymer materials (resists) that are molded by a template at room temperature and low pressures prior to UV cross-linking. There are inherent advantages to the J-FIL process that lends itself to patterning flexible substrates. The room temperature and low pressure process makes it more compatible with flexible substrates which tend to become dimensionally unstable at elevated temperatures and pressure. The extension of J-FIL to flexible substrates involves the following key challenges: (i) Understanding the level of precision required in roll-to-roll machine systems to ensure that these systems can facilitate imprint and separation of nano-scale features; (ii) The substrate surface should be controlled to initiate and maintain proper interface with the template and avoid formation of bubbles; (iii) The tension in the film should be controlled to ensure that the discrete resist drops are coerced to form a uniform contiguous residual film underneath the patterns; (iv) The fluid filling time - that is representative of the process throughput - should be low; and (v) After UV curing and separation, the nanoscale patterns should not be deformed or damaged. The above challenges were addressed by developing a roll-to-roll test bed to imprint flexible polycarbonate films using the J-FIL process. The test bed has the capability of controllably varying a number of web tension parameters as well as process variables in order to calibrate machine precision and establish control schemes for a robust process. Process metrics such as RLT uniformity, target RLT accuracy, feature filling and feature distortion were measured and quantified. A design of experiments was performed on the test bed for the purposes tuning the process variables as well as developing a model of process performance, with respect to critical process parameters. A two-level design, with three input variables, is utilized in this experimental process. The process yielded blank imprints with mean thickness of 70.5 nm, and a standard deviation of 3.9 nm. The sensitivity of the mean thickness and uniformity to process variables were quantified. The best performing set of input parameters were then used during patterned imprints, to determine if any pattern filling issues or pattern deformation would take place. The patterned imprints, made up of an array of hexagonal pillars (125nm tall, by 240 nm wide, by 450 nm pitch) showed no sign of fluid filling voids, or deformation due to separation. Given this result, the feasibility of implementing J-FIL on a roll-to-roll prototype system was established. A proposed next generation flexible substrate J-FIL tool is presented, along with the expected challenges associated with metrology and dynamic noise. Future work entails the design and qualification of a full scale roll-based imprint tool, capable of meeting throughput metrics established for industrial applications. / text
10

Materials development for step and flash imprint lithography

Jacobsson, Borje Michael 23 September 2011 (has links)
The quest for smaller and faster integrated circuits (ICs) continues, but traditional photolithography, the patterning process used to fabricate them, is rapidly approaching its physical limits. Step and Flash Imprint Lithography (S-FIL®) is a low-cost patterning technique which has shown great potential for next generation semiconductor manufacturing. To date, all methods of imprint lithography have utilized a sacrificial resist to produce device features. Our goal has been to develop functional materials such as insulators that can be directly patterned by S-FIL and then remain as a part of the end product. Directly patternable dielectric (DPD) materials must meet multiple mechanical and physical requirements for application in microelectronic devices. In some cases these requirements are conflicting, which leads to material design challenges. Many different materials and curing methods have been evaluated. Thiol-ene based approaches to patterning hyperbranched materials incorporating Polyhedral Oligomeric Silsesquioxanes (POSS) have shown the greatest promise. Thiol-ene polymerization takes place by a free radical mechanism, but it has the advantage over acrylates of not being inhibited by the presence of oxygen. This greatly eases some engineering design challenges for the S-FIL process. A number of thiol-ene formulations have been prepared and their mechanical and electrical properties evaluated. SFIL-R has been introduced as an alternative technology to SFIL. SFIL-R offers improvements to SFIL in several ways, but requires a high silicon content, low viscosity, planarizing material. Photopolymerizable branched siloxanes were synthesized and evaluated to function as a planarizing topcoat for this technology. Both SFIL and SFIL-R require a clean separation of the template from the resist material. Fouling of templates is a major concern in imprint lithography and fluorinated materials are used to treat templates to lower their surface energy for better separation. It has been observed that the template treatment degrades over time and needs to be replaced for further imprinting. A fluorinated silazane was designed to repair the degraded areas. This material was evaluated and functions as designed. / text

Page generated in 0.0399 seconds