• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 3
  • Tagged with
  • 3
  • 3
  • 2
  • 2
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Modélisation à haut niveau d'abstraction de l'intégrité du signal dans les bus de communication / High-level modeling of signal integrity in communication buses

Wang, Ruomin 15 July 2014 (has links)
En raison de l'évolution technologique, l'analyse de l'intégrité du signal est devenue de plus en plus critique dans la conception des systèmes électroniques. Plusieurs méthodes d'analyse ont été proposées et sont utilisées. Cependant, l'hétérogénéité croissante des systèmes et la réduction du temps de mise sur le marché des applications font que les concepteurs ont besoin de nouvelles méthodes travaillant à haut niveau d'abstraction, afin qu'elles puissent être intégrées facilement à un modèle au niveau système de l'application, et ainsi analyser l'intégrité du signal au plus tôt dans le cycle de conception. Dans cette thèse, nous proposons une méthode basée sur deux types de blocs complémentaires, nommés blocs fonctionnels et blocs non-fonctionnels, décrits à l'aide d'un même langage (C/C++ et SystemC/SystemC-AMS), et donc aisément simulables dans un unique environnement. Les blocs fonctionnels servent à modéliser les comportements idéaux du système. Les comportements non-idéaux, engendrés par les problèmes d'intégrité du signal, sont modélisés dans les blocs non-fonctionnels à l'aide de réseaux de neurones. Pour valider notre méthodologie, deux applications autour des bus I2C et USB 3.0 ont été modélisées. Les résultats de simulations démontrent la faisabilité de notre méthodologie. En la comparant à des modèles de référence, notre méthode permet de réduire de façon remarquable le temps de simulation (99% par rapport à un modèle SPICE) et l'écart moyen est d'environ 3%. Notre méthode offre enfin certaines possibilités de flexibilité et de modularité. Dans le futur, cette méthode originale pourra être intégrée au flot de conception de systèmes cyber-physiques. / As a result of continuing growth of electronic technology, signal integrity analysis has now become a more and more critical challenge in the electronic systems design process. To address this issue, designers have introduced several approaches. However, due to the higher heterogeneity of modern applications, along with time-to-market constraints, a new modeling methodology is required to provide the system?s signal integrity performance at a high-level of abstraction. Moreover, it should be easily interoperable with the system?s functional model. The aim of this work is to propose a new modeling methodology for signal integrity analysis that can meet these requirements. Our method is based on the combination of two kinds of blocks, named functional blocks and non-functional blocks. They are built in C/C++ or SystemC/SystemC-AMS, in order to be easily simulated in a single environment. The functional block is used to model the ideal behavior of the system. The non-functional block is used to represent the highly nonlinear and non-ideal behaviors, caused by signal integrity issues. In the non-functional block, neural networks are used to model these non-ideal behaviors. To validate our method, we developed two applications based on I2C and USB 3.0 applications. Our method greatly increases simulation speed (99% faster than a SPICE model), while achieving a relative absolute error around 3%. Finally, our method is a flexible and modular approach since models can easily be parameterized and interoperable. In the future, this original method for high-level modeling of signal integrity could be integrated in the forthcoming design flows of cyber-physical systems.
2

Technique et Méthodologie de Conception du Réseau de Distribution d'Alimentation d'une Carte Electronique Rapide à Haute Densité d'Interconnexion / Design Techniques and Methodology for Power Delivery Network of a High Speed High Sensity Electronic Board

Goral, Benoit 12 October 2017 (has links)
Les contraintes économiques actuelles amènent les entreprises d'électronique non seulement à innover à un rythme très soutenu mais aussi à réduire le cycle de conception des nouveaux produits. Afin de rester compétitives, ces entreprises doivent proposer régulièrement de nouveaux produits comportant de nouvelles fonctionnalités, ou améliorant les performances des produits de la génération précédente. Les progrès réalisés peuvent être quantifiés par exemple en terme de vitesse de fonctionnement, encombrement, autonomie et consommation d'énergie. La conception des cartes électroniques incluant ces contraintes est alors délicate. En effet, l'intégration de nouvelles fonctions tout comme la miniaturisation des produits entraînent une densification du circuit imprimé. Le nombre de couches utilisé augmente, l'isolement entre les signaux diminue, l'utilisation de circuits intégrés comportant différentes fonctions comme les SOC ou les SIP entraîne une multiplication du nombre de potentiels d'alimentation. L'augmentation des performances des systèmes impliquent une élévation du taux de débits de données circulant au sein du circuit imprimé et par conséquent l'augmentation des fréquences d'horloge et des signaux. Ces contraintes entraînent l'apparition de problèmes de compatibilité électromagnétique, d'intégrité du signal et d'intégrité de puissance. Il est alors nécessaire de limiter les risques de dysfonctionnement de la carte par une maîtrise des phénomènes qui se produisent d'une part par une analyse de dimensionnement précise afin d'éliminer ou de réduire les problèmes au plus tôt dans la phase de conception et d'autre part en effectuant des simulations de validation une fois la carte terminée. Cette thèse proposée par la société Thales Communications and Security en collaboration avec le laboratoire des Systèmes et Applications des Technologies de l'Information et de l’Énergie (SATIE) de l’École Normale Supérieure de Cachan dans le cadre d'une Convention Industrielle de Formation par la REcherche (CIFRE) a pour but le développement d'une méthodologie d'analyse et de conception du réseau du distribution d'énergie de cartes numériques complexes dans le but de garantir leur fonctionnement sans, ou en réduisant le nombre d'itérations de prototypage. L'introduction au contexte, une description du système étudié et des phénomènes physiques régissant son fonctionnement ainsi qu'un état de l'art des techniques d'analyse d'intégrité de puissance constituent le premier chapitre de ce mémoire. La présentation du véhicule de test, support de tous les résultats de mesure, conçu durant la deuxième année de thèse est l'objet du second chapitre. Ce chapitre dénombre et décrit l'ensemble des scenarii et des réalisations créés pour la mesure des phénomènes propres à l'intégrité de puissance et la corrélation de résultats de simulation avec ceux obtenus en mesure. Dans une troisième partie, les techniques de modélisations de chaque élément constituant le réseau de distribution d'énergie sont décrites. Afin de démontrer la validité des modèles utilisés, les résultats de simulation obtenus pour chaque élément ont été confrontés à des résultats de mesure. Le quatrième chapitre présente la méthodologie de conception et d'analyse de la stabilité des alimentations développée suite aux résultats obtenus des différentes techniques de modélisation. Les outils utilisés sont précisément décrits et les résultats de simulation confrontés à ceux de mesure du système complet du véhicule de test. Dans le chapitre 5, l'intérêt de la modélisation des réseaux de distribution d'énergie sera étendu aux études d'intégrité du signal en démontrant comment son inclusion aux simulations permet d'obtenir, lors de la mise en œuvre de co-simulations, des résultats de simulation plus proches de la réalité. Enfin, la dernière partie de ce document synthétise les travaux de la thèse, porte un regard critique et propose quelques perspectives de travaux futurs. / Today's economical context leads electronics and high-tech corporations not only to innovate with a sustained rhythm but also to reduce the design cycle of new products. In order to remain competitive, these corporations must release regularly new products with new functionalities or enhancing performances of the last generation of this product. The enhancement from one generation of the product to the other can be quantified by the speed of execution of a task, the package size or form factor, the battery life and power consumption.The design methodology following these constraints is thus very tough. Indeed, integration of new functionalities as miniaturization of products imply a densification of the printed circuit board. The number of layer in the stack up is increased, isolation between nets is reduced, the use of integrated circuits embedding different functions as SOC or SIP implies a multiplication of the number of voltages. Moreover the increase of circuit performances implies a increasing data rate exchanged between component of the same printed circuit board and occasioning a widening of the reference clock and signal frequency spectrum. These design constraints are the root cause of the apparition of electromagnetic compatibility, signal integrity and power integrity issues. Failure risks must then be limited by fully understanding phenomenon occurring on the board by, on one side, realizing a precise dimensioning pre layout analysis aiming the elimination or reduction of the issues at the beginning of the design cycle, and on the other side, validating the layout by post layout simulation once the printed circuit board routed.This study proposed by Thales Communication and Security in collaboration with public research laboratory SATIE (System and Application of Energy and Information Technologies) of Ecole Normale Supérieure de Cachan within a industrial convention for development through research aims to develop a design methodology for power delivery network of digital printed circuit board with the goal of ensuring good behavior without or by reducing the number of prototypes.The first chapter of this manuscript include an introduction to the context of the study, a precise description of the studied system and the physical phenomenon ruling its behavior, and finally a state of the art of the power integrity technique analysis. A presentation of the test vehicle, designed during the work and support of all measurement results will constitute the focus of second chapter. This chapter presents and describes all the scenarios and implementations created for the observation and measurement of Power Integrity phenomenon and realise measurement-simulation results correlation. In a third part, modeling techniques of each element of the Power Delivery Network are described. The validity of the models is proven by correlating simulation results of each element with measurement results. The fourth chapter presents the analysis and design methodology developed from the results of the different modeling techniques presented in the previous chapter. Simulation tools and their configuration are precisely described and simulation results are compared with measurement results obtained on the test vehicle for the whole system. In the fifth chapter, the interest of power delivery network model will be extended to signal integrity analysis demonstrating how including this model allows to obtain simulation results closer from measurement results by running Signal Integrity Power aware simulation. Finally, the last part of this document synthetizes the work realized and presented in this document, takes a critical look on it and proposes future works and orientations to extend knowledges and understanding of Power Integrity Phenomenon.
3

Etude des phénomènes de Réflexions, de Diaphonie et de Stabilité des alimentations sur les cartes à haute densité d'interconnexions

Amédéo, Alexandre 14 January 2010 (has links) (PDF)
L'étude des différents phénomènes d'Intégrité de Signal (IS) a nécessité la mise en oeuvre d'un véhicule de test (VT) spécifique, conçu suivant des contraintes industrielles. La carte réalisée présente un environnement complexe avec des zones à haute densité d'interconnexions (HDI) et permet d'étudier l'ensemble des phénomènes IS. Une première partie a permis d'étudier les variations sur l'impédance caractéristique des pistes provoquées d'une part par le procédé de fabrication et d'autre part par les contraintes d'un routage HDI. L'impact de ces désadaptations a ensuite été quantifié. L'étude de la diaphonie a nécessité la mise en place d'un modèle de simulation simplifié pour valider la méthodologie utilisée par l'outil d'analyse de la suite Cadence. Les simulations ont ensuite été confrontées aux résultats de mesures pour étudier la validité de l'outil et pour définir la configuration à mettre en oeuvre, afin que les simulations soient représentatives des signaux réels. Une dernière partie est consacrée à l'étude de l'intégrité des alimentations. Les résultats de simulations issus de l'outil Power Integrity sont comparés aux résultats de mesures effectuées sur le VT en utilisant un VNA. Le réseau de découplage est caractérisé par son impédance dans une analyse fréquentielle. Nous avons étudié la caractérisation des plans d'alimentations, des modèles de condensateur ainsi que les inductances parasites introduites par le placement et le routage. Enfin, une étude a été effectuée pour optimiser le placement des condensateurs de découplage sur le circuit imprimé tout en limitant l'apparition d'inductances parasites.

Page generated in 0.0457 seconds