• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 105
  • 94
  • 25
  • 23
  • 18
  • 18
  • 18
  • 18
  • 18
  • 12
  • 8
  • 3
  • 2
  • 1
  • 1
  • Tagged with
  • 306
  • 123
  • 100
  • 53
  • 42
  • 38
  • 35
  • 32
  • 30
  • 29
  • 21
  • 21
  • 19
  • 19
  • 18
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
221

Uma contribuição ao estudo do torneamento em eixo comando de motores de ferro fundido cinzento / A turning contribution study to gray casting iron engine camshaft

Silveira, Valmir 18 August 2018 (has links)
Orientador: Amauri Hassui / Dissertação (mestrado profissional) - Universidade Estadual de Campinas. Faculdade de Engenharia Mecânica / Made available in DSpace on 2018-08-18T21:13:03Z (GMT). No. of bitstreams: 1 Silveira_Valmir_M.pdf: 7181215 bytes, checksum: 11cf9a0b0b615a822328f0eecf19522e (MD5) Previous issue date: 2011 / Resumo: A busca pela competitividade industrial tem sido a tônica dos últimos tempos. Uma das formas de se atingir alto nível nesse quesito é a diminuição dos custos de fabricação. A usinagem é um dos processos de fabricação mais importantes quando se necessita de tolerâncias mais precisas. Dentro da usinagem, um dos processos que apresentam maior custo é a retificação. As retificadoras são máquinas mais caras e menos flexíveis, com baixas taxas de remoção de cavaco. Além disso, esse processo demanda abundância de fluido de corte, que atualmente, apresenta alto custo, principalmente de descarte. Nos últimos tempos os processos de torneamento, principalmente suas ferramentas de corte, desenvolveram-se muito, possibilitando a obtenção de valores muito baixos de rugosidade e tolerâncias tanto dimensionais quanto geométricas. Assim, a retificação tem sido substituída, sempre que possível, pelo torneamento. Neste trabalho foi feita uma tentativa de substituição do processo de retificação de alguns diâmetros do eixo comando, pelo processo de torneamento. Para isso, foram feitas diversas melhorias no processo de torneamento utilizado atualmente. Dentre essas melhorias, pode-se mencionar o sistema de fixação da peça, a utilização de uma luneta, o sistema de fixação da ferramenta. Após essas melhorias, diferentes condições de corte e materiais de ferramentas foram testados visando atingir-se as especificações de produto. Os resultados obtidos permitiram a eliminação da retificação de dois diâmetros dentre os cinco estudados. Para os demais, é possível eliminar-se a retificação desde que a engenharia de produto altere a especificação de rugosidade. Porém, a substituição dos dois diâmetros já implicou numa redução substancial de custos / Abstract: The search for industrial competitiveness has been the tonic lately. One mean of achieving high level in this item is a manufacturing cost reduces. Machining is one of the most important manufacturing processes when more precise tolerances are a must. Among the machining processes, grinding is one that presents higher costs. The grinding machines are more expensive and less flexible than others, besides they present low chip removal rate. Moreover, this process demands high amounts of cutting fluid, representing high costs nowadays, mainly to discard the fluid. Lately the turning processes, especially its cutting tools, has presented a deeply development, turning it possible the achieving of very low values for surface roughness and tight dimensional and geometrical tolerances. Therefore, the grinding process has been replaced, whenever it is possible, by turning processes. In this work, it was done an attempt of replacing the grinding of some diameters of the camshaft, by the turning process. To do this, some improvements in the used turning process were done. Among these improvements it can be mentioned the workpiece device fixturing, the introduction of a steady rest and the cutting tool holder. After these improvements, different cutting conditions and cutting tool materials was tested aiming to achieve the product specifications. The attained results allowed the grinding process elimination for two diameters among five studied. To the other ones, it is possible to eliminate the grinding operations since the product engineering change the surface roughness specification. The replacement to the two diameters has already implicated in a substantial cost reduction / Mestrado / Manufatura / Mestre em Engenharia Automobilistica
222

Modélisation du lissage de défauts sur les optiques asphériques de photolithographie : approche par éléments discrets / Modelling of defect correction on aspherical lithography optics : a discrete element approach

Goupil, Antoine 05 July 2013 (has links)
Dans la fabrication de lentilles asphériques pour la photolithographie, l’étape delissage est critique. C’est aujourd’hui le seul procédé qui peut corriger les défauts de hautesfréquences spatiales responsables de diffusions parasites, de diminutions de transmittance etde contraste. Cette opération doit préserver la forme asphérique basse fréquence tout enlissant les défauts de hautes fréquences. Un tel comportement peut être obtenu pour des outilscombinant une couche continue flexible pour le suivi basse fréquence et une couche de poixfractionnée pour le lissage de défauts hautes fréquences. Les buts principaux de cette étudesont de prédire l’efficacité de lissage et le suivi de forme de différents outils et ensuite dedéterminer l’outil permettant le meilleur compromis. A cette fin, un modèle multi-échelles estdéveloppé. A l’échelle de l’outil entier, une étude paramétrique par éléments finis permet dedéterminer les caractéristiques de la couche flexible ainsi que la force appliquée optimale afind’obtenir l’homogénéité de la pression à l’échelle de la forme asphérique globale. A l’échelle dela couche de poix, la Méthode par Eléments Discrets est utilisée pour investiguer l’interfaceoutil-pièce. Un modèle basé sur le concept de la poutre cohésive viscoélastique est développé,prenant en compte la réponse fréquentielle de la couche de poix. La comparaison avecl’Analyse Mécanique Dynamique montre la capacité de la DEM à modéliser le comportementviscoélastique. L’opération de lissage est ensuite modélisée par DEM et analytiquement. Lesdonnées expérimentales obtenues par la méthode de la Densité Spectrale de Puissancemettent en évidence l’impact des propriétés de la poix sur l’efficacité de lissage. Lacomparaison entre les résultats numériques et expérimentaux montre que le modèle DEMdonne des résultats prometteurs pour la modélisation du lissage de défauts. / In aspherical photolithography optics manufacturing, the smoothing step iscritical. So far, it is the only process that can correct high spatial frequency defects, responsiblefor flare and transmission, contrast decrease. This operation must preserve the low frequencyaspherical shape while smoothing high frequency defects. That behavior can be obtained withtools that combine a continuous flexible layer for low frequency compliance and a fractionatepitch layer for high frequency defect polishing. The main goals of this study are predicting thesmoothing efficiency and form control of different tools, and then determining the best tool toachieve a good balance between them. To do this, a multi-scale model is developed. First, atthe whole tool scale, a finite-element parametric study yields the best characteristics for theflexible layer as well as the optimal applied force to achieve pressure homogeneity at the globalaspherical shape level. Second, at the pitch layer level, the Discrete Element Method is used toinvestigate the tool-workpiece interface. A model based on the viscoelastic cohesive beamconcept is developed, taking into account the pitch layer’s frequency response. Comparisonwith Dynamic Mechanical Analysis shows the ability of DEM to model viscoelastic behavior. Thesmoothing operation is then modeled both by DEM and analytically. Experimental data obtainedby the Power Spectral Density Method highlight the impact of pitch properties on the smoothingefficiency. Comparison between numerical and experimental data shows that the DEM modelyields promising results in defect smoothing modeling
223

Northern pike Esox lucius growth rates in sub populations of freshwater and sea water origins in the Baltic Sea : Otolith method approach

Mbawala, Nelson January 2016 (has links)
Northern pike or pike Esox lucius is total piscivorous fish species found in the brackish and freshwater ecosystems of the Northern hemisphere. It is a top predator and keystone species that causes cascading effects in these ecosystems. Otoliths have been used to estimate age and growth rates in most fishes. Cleithra have generally have been used in Pike due to opaque nature of the otoliths. The aim of this study was to develop a method for age and growth estimation of pike using sagittal otoliths and carry out age and growth rates estimation of four sub populations; Dunöbäcken, Kårehamn, Oknebäcken and Väderön that represent freshwater and brackish water spawning sites. Determination of growth rates differences between sub populations was done for the first 2 years of their life. The methods included burning of the otoliths, fixing the otoliths in the epoxy resin, polishing of otoliths and staining with Alizarin red, Silver nitrate solution, Tetracycline and alkaline Lugol's solution with observation under light, dissecting and epifluorescence microscopes. Four methods tested based on staining with Alizarin red, Silver nitrate, alkaline Lugol's solution and burning otoliths. Provided useful results and not the method with Tetracycline. Alkaline Lugol's solution staining method was chosen and further developed. There was a significant difference in the relative growth rates between the sub population from brackish water spawning site of Väderön and the sub population from freshwater spawning site of Kårehamn in the first year (One-way ANOVA:F value 3.18, p value 0.0356) and after Tukey post hoc test (p value 0.0362). No difference among sub populations from the freshwater spawning sites. There was no difference in growth rates between any other sub populations in the second year. The importance of the study is successful application of Lugol's solution staining method for age and relative growth estimation studies with otoliths in pike. / EcoChange
224

Analysis of voltage and current during the Plasma electrolytic Polishing of stainless steel

Rajput, Ajeet Singh, Zeidler, Henning, Schubert, Andreas 23 August 2017 (has links) (PDF)
Plasma electrolytic Polishing (PeP) is a non-conventional technology for the surface treatment of electrically conductive materials. It is an effective machining technique for cleaning and polishing of metals and considered as a more environmentally friendly alternative to the electropolishing process. The electropolishing process uses aggressive media such as acids, whereas in PeP, acids or toxicants are replaced by low concentrated water solutions of various salts. In PeP, high DC voltage is applied to the electrodes in the aqueous electrolyte solution, which establishes a thin steam-gas layer around the surface of the work piece resulting in the generation of plasma. From the previous research, it is found that the formation of stable plasma generally takes place between 180-370 volts, where it results in better surface conditions. The aim of this study is to analyse the behaviour of current according to different voltages and their effects on surface roughness and material removal rate (MRR) of stainless steel in Plasma electrolytic Polishing process.
225

An in-vitro study assessing the color stability of different provisional crown and bridge restorative materials

Barbara, Ndagire January 2006 (has links)
>Magister Scientiae - MSc / The aim of this study was to investigate the color stability of two provisional restorative materials used in crown and bridge cases upon exposure to different tea staining solutions and to evaluate the effectiveness of a polishing technique in removing the tea stains from the stained provisional restorative materials.
226

Avaliação da rugosidade e microdureza de superfície de compósitos restauradores submetidos a dois tipos de polimentos / Evaluation of the surface roughness and microhardness of composites submitted to two polishing techniques

Patrícia Schunck Alferes Marques 26 June 2009 (has links)
Objetivo: Comparar compósitos diretos e indiretos em relação à rugosidade de superfície e microdureza Knoop e a efetividade de sistemas de polimento multipasso e passo único sobre a superfície destes materiais. Método: Foram confeccionados 34 corpos-de-prova para cada material, sendo dois compósitos restauradores para uso direto e dois para uso indireto. A análise inicial da rugosidade de superfície foi realizada em vinte corpos-de-prova de cada material restaurador, com o rugosímetro Surftest SV-3000, filtro de 0,25mm (cut-off) e comprimento de leitura de 1,25mm. A microdureza inicial foi mensurada em dez corpos-de-prova de cada grupo, com uso de um microdurômetro MVD 401, sob carga de cinquenta gramas por 12 segundos. Quatro corpos-de-prova de cada compósito foram submetidos à análise topográfica por microscopia de força atômica. Ao final das análises iniciais de rugosidade de superfície e microdureza Knoop, os corpos-de-prova foram igualmente divididos em dois subgrupos conforme o sistema de polimento a ser empregado: sistema de passo único (Pontas Pogo) e sistema multi-passo (Discos Sof-lex). Após o polimento, foi realizada nova análise da rugosidade de superfície, da microdureza Knoop e microscopia de força atômica. As médias obtidas nos ensaios foram submetidas à Análise de Variância (ANOVA) e ao teste t de student, nível de significância de 5%. Resultados: Os valores médios da rugosidade (Ra - μm) após polimento com Sof-Lex variaram de 0,13μm (Sinfony) a 0,29μm (Charisma). Após polimento com Pogo, variaram de 0,13μm (Charisma) a 0,20μm (Sinfony), sem diferença estatística entre os compósitos testados. Para o teste do microdureza Knoop, os valores médios (Kg/mm2) após polimento com Sof-Lex variaram entre 18,86 (Sinfony) e 68,67 (Filtek Z350), sendo que o compósito Filtek Z350 foi associado a valores estatisticamente superiores quando comparado aos demais compósitos. Não foram observadas diferenças estatísticas entre os compósitos Charisma e Signum, e o compósito Sinfony apresentou médias de dureza estatisticamente inferiores. Após o polimento com Pogo, as médias variaram de 18,95 (Sinfony) a 64,34 (Filtek Z350), sendo que diferenças estatísticas foram observadas entre todos os compósitos avaliados, na seguinte ordem decrescente: Filtek Z350, Charisma, Signum e Sinfony. Conclusões: O polimento com Sof-Lex foi mais efetivo na redução da rugosidade para os compósitos indiretos, sendo que não foram observadas diferenças entre os compósitos após polimento com Pogo. A efetividade do sistema de polimento na redução da rugosidade de superfície está mais relacionada com características individuais dos compósitos que com a classificação. O polimento realizado tanto com Sof-Lex como com Pogo causou em todos os compósitos (com exceção do Signum) uma redução significativa na microdureza de superfície. / Objective: The aim of the present study was to compare direct and indirect composites in relation to the superficial topography and Knoop microhardness and to evaluate the effectiveness of polishing techniques (multi-step and single-step) on the surface of these materials. Method: Four commercially available resin composites were tested, two direct and two indirect composites. For each material, 34 samples were confectioned. The initial analysis of roughness was performed in twenty samples of each restorative material, using a Surftest SV-3000 profilometer, with parameters of 0.25μm (cut-off) and 1.25mm (length of reading). The initial microhardness was measured in ten samples of each group, using a microhardness unit MVD 401, under load of fifty grams per 12 seconds. Four samples of each resin composite were confectioned to Atomic Force microscopy (AFM). After initial analysis, samples were randomly divided in two sub-groups in agreement to the polishing technique used: single-step polishing (Pogo - Dentsply) or multi-step polishing (Sof-lex - 3M ESPE). After polishing, final measurements of roughness, microhardness and AFM were performed. Results were analyzed by ANOVA and students t test (α = 0.05). Results: The mean values of roughness (Ra - μm) after polishing with Sof-Lex ranged from 0.13μm (Sinfony) to 0.29μm (Charisma), and indirect composites presented statistically lower mean values when compared to direct composites. No statistical difference was observed among composites after polishing using Pogo. The mean values of microhardness after polishing using Sof-Lex varied between 18,86 (Sinfony) and 68,67 (Filtek Z350). Filtek Z350 was associated with higher mean values when compared to the other composites. No difference between Charisma and Signum was observed, and the composite Sinfony presented the lowest mean value of hardness. After polishing using Pogo, statistically different microhardness values were observed among all evaluated composites, in the following decreasing order: Filtek Z350, Charisma, Signum and Sinfony. Conclusions: Polishing with Sof-Lex was more effective in the reduction of roughness for the indirect composites. However, composites presented similar performance after polishing with Pogo. The effectiveness of the polishing system in the reduction on superficial roughness is more related to individual characteristics of the composite than with its classification. Polishing using Sof-Lex and Pogo caused to all composites (exception to Signum) a significant reduction of the mean microhardness.
227

Surface Optimization of the Silicon Templates for Monolithic Photonics Integration

Hu, Chen January 2011 (has links)
Silicon photonics is emerging as a potential field to achieve optical interconnects towards the realization of ultra high bandwidth. The indirect band-gap property of silicon still remains as a big challenge to incorporate silicon photonic active device, for example, silicon-based laser. In the Laboratory of Semiconductor Materials at KTH, a monolithic integration platform based on nano-epitaxial lateral overgrowth (nano-ELOG) technique has been proposed to integrate III-V semiconductor materials with silicon for light source application. The integration process involves uneven surface morphology at different stages. The surfaces of the indium phosphide seed layer on silicon used for ELOG, the mask deposited on it (the silicon/silicon dioxide waveguide) and the ELOG indium phosphide layer grown on it prior to laser growth are often rough. In this thesis work, we have optimized chemical mechanical polishing (CMP) technique in order to achieve an even surface. The same procedure is also necessary to reach the optimal thickness of different layers to enable effective coupling of light from the laser source into the waveguide. CMP of indium phosphide to obtain an average surface roughness of < 1 nm has been optimized by a two-step polishing using different slurries; it results in a step height of ca 3 nm. Similarly the surface of silicon/silicon dioxide “waveguide” has also been optimized with the roughness of ~ 0.5 nm. In the latter case, a step height of 40 nm is retained and this increase with respect to InP is identified to be mainly due to limitations of the polishing machine which is different from that used for indium phosphide. The reduction in step heights with polishing time is analyzed and compared with an existing theoretical model. Our results are in good qualitative agreement with the model. The optimized surface morphology obtained in this work was tested for its suitability for integration. For this evaluation, InP was grown by ELOG in a hydride vapour phase epitaxy reactor with and without CMP of the involved surfaces. The surface after CMP yields layers of better surface morphology with fewer defects as revealed by atomic force microscopy, surface profilometer and cathodoluminescence analysis. The results indicate that the CMP process is useful for monolithic integration for silicon photonics.
228

Development and optimization of mechanical polishing process for superconducting accelerating cavities / Développement et optimisation d'un procédé de polissage mécanique pour les cavités accélératrices supraconductrices

Hryhorenko, Oleksandr 13 December 2019 (has links)
La production de masse de cavités accélératrices supraconductrices en régime radiofréquence (SRF) est un réel défi industriel non seulement du fait du nombre croissant de cavité pour les futurs grands projets mais également de par les besoins en terme de fiabilité, reproductibilité et performances demandées très proches des limites physiques du Niobium. De nos jours, XFEL (DESY) et le LHC (CERN) sont les deux accélérateurs les plus importants utilisant la technologie supraconductrice. Des projets accélérateurs encore plus ambitieux, tels que l’ILC (International Linear Collider) et FCC (Future Circular Collider) sont en cours d’étude. Pour de tels projets, il est encore nécessaire d’améliorer les performances et de réduire les coûts de fabrication et d’opération avant d’engager la phase d’industrialisation.Une voie d’amélioration des performances et de réduction des coûts a été étudiée. Ceci consiste à améliorer les procédés de nettoyage des surfaces. En effet, la pollution et les dommages causés à la structure cristalline durant la fabrication d’une cavité supraconductrice doivent être impérativement retirés afin de garantir des performances optimales. Cette régénération des surfaces est couramment réalisée à l’aide de deux types de polissages chimiques : par BCP (Buffered Chemical Polishing) ou par électro-polissage (EP). Cependant, ces techniques utilisent des acides très concentrés qui entrainent des coûts d’opération très conséquents du fait des problèmes de sécurité. Une voie d’amélioration pouvant rendre possible la construction de telles machines serait de remplacer totalement ou partiellement l’utilisation des acides par des techniques de polissage alternatives.Le polissage mécanique a été étudié durant des décennies et plus spécifiquement les techniques par centrifugation (CBP). Cette technique permet d’atteindre des rugosités de surface bien meilleures et est bien plus efficace pour retirer certains défauts de surface comparé aux procédés chimiques. Cependant, cette technique n’est pas envisageable comme solution alternative à cause des fortes pollutions de surface et des durées de traitement très longues. La première partie de la thèse a consisté à reproduire l’état de l’art, comprendre les limitations réelles de cette technique et essayer d’améliorer le procédé en réduisant la pollution de surface générée par le piégeage des abrasifs en surface ainsi que la durée de traitement (réduction du nombre d’étapes intermédiaires). Il a été conclu que ce procédé ne peut pas être considéré comme alternatif mais complémentaire aux traitements chimiques.La deuxième partie du travail de thèse s’est concentrée sur la méthode de polissage métallographique. Cette dernière ne peut s’appliquer que sur plaques et non sur des géométries complexes, cependant elle retire très efficacement toutes les impuretés et dommages cristallins formés durant la fabrication des tôles de Niobium. Un procédé optimisé à 2 étapes, inspiré des techniques conventionnelles (typiquement 5-6 étapes) a été développé avec succès et optimisé pour les contraintes particulières du Niobium pour les applications SRF. Ce procédé permet non seulement d’obtenir une rugosité de surface incomparable mais préserve également la structure cristalline. Des études complémentaires sont encore requises afin d’améliorer les techniques de formage des tôles ou même caractériser des solutions alternatives permettant des limiter les dégâts en surface et de préserver la qualité du matériau.Finalement, ce travail mené est d’une importance capitale pour le futur des cavités accélératrices supraconductrices, c’est-à-dire l’utilisation de nouveaux matériaux supraconducteurs sous forme de couche mince. La qualité des couches minces de ces matériaux alternatifs dépend très fortement de l’état de surface du substrat (typiquement niobium ou cuivre poly cristallin). / Large-scale production of superconducting radio-frequency (SRF) cavities is an industrial challenge, not only because of the increasing number of unit for future projects but also because of requirements in term of reliability, reproducibility and performances very close to the physical limit of polycrystalline bulk Niobium. Nowadays, XFEL (DESY) and LHC (CERN) are the largest existing accelerators which are based on SRF technology. Even more challenging SRF accelerator projects like ILC (International Linear Collider) and FCC (Future Circular Collider) are being studied. For such large-scale facilities, higher performances, reduction in fabrication and operation costs are required and essential to proceed with industrialization.A pathway to reduce these costs and improve performances has been studied in this work. It consists in optimizing the cleaning process of cavity surfaces. Indeed, pollution and crystal defects on the surface created during fabrication steps of a SRF cavity have to be removed to ensure optimal superconducting performances. In order to get rid of impurities and to recover crystal structure, two polishing techniques are routinely used: the buffered chemical polishing (BCP) and electro-polishing (EP). However, these techniques involve highly concentrated acids, which lead to high operation costs and safety concerns. A way to overcome the aforementioned drawbacks and make the construction of future accelerators possible would be to replace or complement the conventional chemical polishing by alternative polishing techniques.Mechanical polishing has already been applied in SRF-community for decades by using centrifugal barrel polishing (CBP). This technique could provide a better surface roughness and could be more efficient at removing some surface defects compared to EP and BCP. However, this process does not satisfy requirements for large-scale production due to strong surface pollution and an extremely long processing time. The first part of the PhD work consisted in reproducing the state of the art, understanding its limitations and optimizing the recipe by the reduction of the surface pollution (embedded abrasives) and processing time (reduction of intermediate steps). As a conclusion to this first study, CBP could only be a complementary polishing technique to chemical treatments.The second part of the work focused on metallographic flat polishing. This technique cannot be directly applied on enclosed geometries however, it can remove efficiently surface defects (impurities and crystal damages) created during the fabrication of Niobium sheet. A 2-step process, inspired from metallographic techniques (typically 5-6 steps) has been successfully developed and optimized on Niobium for SRF applications. This process provides not only an improved roughness compared to conventional chemical treatments but also preserve the crystal quality underneath the surface, over the field penetration depth. Additional studies have to be now carried out to optimize conventional forming process or characterize alternative techniques to limit surface damages and preserve material quality as much as possible.Last but not least, the work done is of first importance for the future of SRF cavities meaning the use of new superconducting materials as thin films. The quality of thin-films of alternative superconductors depends strongly on the surface state of the substrate, typically polycrystalline bulk Niobium or Copper.
229

Instrumented nanoindentation studies of chemical mechanical planarization (CMP) pads

Dakshinamurthy, Surendramohan 01 January 2003 (has links)
No description available.
230

Polishing studies on tantalum barrier layer in copper chemical mechanical planarization

Vijayakumar, Arun 01 January 2003 (has links)
No description available.

Page generated in 0.0435 seconds