• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 4
  • 4
  • Tagged with
  • 8
  • 8
  • 6
  • 6
  • 6
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Untersuchungen zum Phasenbestand und zu den thermischen und kalorischen Eigenschaften von Seltenerdselenoxiden der quasibinären Systeme SE2O3-SeO2 (SE = Y; Nd; Sm)

Zhang-Preße, Mei 17 June 2001 (has links) (PDF)
No description available.
2

Untersuchung der topotaktischen Reaktion von Calciumdisilicid mit Ammoniumbromid

Haberecht, Jörg 11 December 2001 (has links) (PDF)
Im Rahmen der vorliegenden Arbeit ist es gelungen, ein Siliciumsubnitrid der Summenformel Si2N chemisch und strukturell zu charakterisieren. Die braune, metastabile Verbindung ist über eine topotaktische Reaktion aus der Zintl-Phase Calciumdisilicid und Ammoniumbromid im molaren Verhältnis 1:2 zugänglich. Charakteristisch für das Siliciumsubnitrid sind eine schichtartige Morphologie und perfekte Spaltbarkeit parallel zu den Schichten. Thermoanalytische und röntgenografische Untersuchungen belegen, dass die Reaktion im Temperaturbereich 165°- 350°C abläuft. Beim Einsatz pulverförmiger Eduktmischungen wird das Siliciumsubnitrid als röntgenamorphes Pulver im mikroskopischen Gemisch mit kristallinem CaBr2 erhalten. Die Abtrennung des Calciumbromids gelingt bisher nur unvollständig. Mit spektroskopischen Methoden (NMR, IR und Raman) konnten die Baueinheiten im Siliciumsubnitrid identifiziert werden. Stickstoff liegt im Siliciumsubnitrid in Form einer trigonalen [N(Si)3]-Koordination vor. Über REDOR-NMR-Experimente wurde belegt, dass das Subnitrid zwei unterschiedliche Siliciumspezies, eine [Si(Si4)]- und eine [Si(Si,N3)]-Umgebung, enthält. Ergebnisse elektronenmikroskopischer Untersuchungen (REM, TEM) sind mit der Bildung des Siliciumsubnitrids über eine topotaktische Reaktion im Sinne des Erhalts schichtartiger Strukturverbände aus dem Calciumdisilicid vereinbar. Im Transmissionselektronenmikroskop werden extrem dünne Schichten beobachtet. Beugungsexperimente (SAD) an partiell geordneten Bereichen zeigen den Erhalt eines hexagonalen Reflexmusters. Die topotaktische Reaktion wurde auch mit CaSi2-Einkristallen durchgeführt, deren Oberflächen durch Aufsublimation mit Ammoniumbromid belegt waren. Die Untersuchung von Edukt und Produkt mit Röntgen-Einkristallbeugungsmethoden deutet auf weitgehende Erhaltung einer periodischen Struktur während der topotaktischen Reaktion hin. Die neue Verbindung kann durch elektrisch neutrale Schichtpakete von etwa 650 pm beschrieben werden.
3

Herstellung, Charakterisierung und Bewertung leitfähiger Diffusionsbarrieren auf Basis von Tantal, Titan und Wolfram für die Kupfermetallisierung von Siliciumschaltkreisen / Preparation, characterization and evaluation of conductive diffusion barriers based on tantalum, titanium and tungsten for the copper metallization of silicon integrated circuits

Baumann, Jens 16 May 2004 (has links) (PDF)
Summary (english): The thesis investigates the potential of thin films of Ta, Ti and W and their nitrides to suppress copper induced interactions in the contact area to silicon. Possible interactions between Cu and gaseos or solid materials within preparation and lifetime of an integrated circuit are summarized. The degradation mechanisms to be expected are the solution of Cu in Si and the formation of Cu3Si. Thin conductive diffusion barriiers are needed to suppress this mechanisms. The requirements on these barriers are discussed. The most important criterion, their resistivity, is determined by the place of application. The resitivity has to be lower than 100 mOhmcm for contacts and lower than 2000 mikroOhmcm for vias. The materials to be separated by a diffusion barrier can pass it by diffusion or the diffusion barrier can be destroyed by reaction (reactive diffusion). Therefore one can distinguish in passive and sacrificial barriers. The thin films were prepared by magnetron sputtering in Ar or Ar/N2 mixture. The films were characterized with respect to composition, phase/structure as well as their resulting electrical, optical, and mechanical properties. The appearance of new phases correlates with changes in process parameters like target voltage and condensation rate. All films - except for a small process window for amorphous/nanocrystalline WNx films - are polycrystalline. The influence of annealing steps in different ambients is investigated. Amorphous/nanocrystalline WNx films do recrystallize during annealing. For a direct contact of Cu to Si a sufficient energy supply during Cu depotsition or during following annealing (T> 200 °C) results in the formation of Cu3Si. The potential of diffusion barriers of different thicknesses and nitrogen contents to suppress this reaction is investigated for annealing steps up to 650 °C. The characterization is performed by analytical methods, sheet resistance measurements as well as leakage current measurements (pn, np and schottky diodes). A diffusion barrier is able to suppress the Cu3Si formation, until itself is consumed by silicidation or intermetallic phase formation. The metal nitrides are more stable, since the present metal nitrogen bonding has to be broken before these reactions can start. With the failure of a diffusion barrier a Cu Si contact occurs with the consequence of copper silicide formation. The silicidation can be either homogeneous (on a large area) or in the form of crystallites several mikrometers in diameter. The distance between the crystallites is up to several 100 mikrometers. It is shown, that results of a barrier evaluation can be paradox if different methods are applied to the same sample. The diffusion of Cu accross a barrier into Si can be shown using analytical methods, already before the formation of Cu3Si. However, the leakage current of pn or schottky diodes is not or not unequivocal modified by this diffusion. The leakage current does not change before the diodes are shorted by the Cu3Si formation. The results of parallel prepared references with Al metallization show, that the diffusion barriers are more stable in a Cu metallization than in an Al metallization. / Zusammenfassung (detusch): Die Arbeit beschreibt das Potential von Schichten des Ta, Ti, W und ihrer Nitride zur Unterdrückung kupferinduzierter Degradationen im Kontakt zu Silicium. Mögliche Wechselwirkungen zwischen Cu und den im Herstellungsprozess sowie der Lebensdauer von Schaltkreisen präsenten Gasen und Feststoffen werden zusammengestellt. Für das System Cu-Si sind als Degradationsmechanismen die Lösung von Cu und die Cu3Si Bildung zu erwarten. Die Anforderungen an die zur Unterdrückung der Degradationen notwendigen leitfähigen Diffusionsbarrieren werden diskutiert. Ihr spezifischer elektrischer Widerstand als wichtigstes Kriterium für die Integration wird vom Einsatzort bestimmt. Er muss für Kontakte unter 100 mOhm cm und für Vias unter 2000 mikroOhmcm liegen. Diffusionsbarrieren können von den zu trennenden Materialien durch Diffusion überwunden oder durch Reaktion (reaktive Diffusion) aufgezehrt werden. Damit kann in passive und Opferbarrieren unterschieden werden. Die Schichtherstellung erfolgt mit dem Verfahren der Magnetronzerstäubung in Ar oder Ar/N2 Atmosphäre. Sie werden hinsichtlich ihrer Zusammensetzung, Phase/Struktur sowie resultierender elektrischer, optischer und mechanischer Eigenschaften charakterisiert. Das Auftreten neuer Phasen korreliert mit Verlaufsänderungen einfach zugänglicher Prozessparameter wie Targetspannung und Kondensationsrate. Alle Schichten mit Ausnahme eines engen Prozessfensters für amorphes/nanokristallines WNx sind polykristallin. Der Einfluss von Temperungen in verschiedenen Medien wird untersucht. Amorphe/nanokristalline WNx Schichten rekristallisieren während Temperung. Für direkten Kontakt Cu zu Si führt ausreichende Energiezufuhr schon während der Abscheidung oder während nachfolgender Temperung (T> 200 °C) zur Cu3Si Bildung. Das Potential der Diffusionsbarrieren zur Unterdrückung dieser Reaktion wird für unterschiedliche Dicken und Stickstoffgehalte nach Temperungen bis maximal 650 °C untersucht. Dazu werden analytische Methoden, Schichtwiderstandsmessungen und Sperrstromdichtemessungen an pn, np und Schottkydioden eingesetzt. Die Diffusionsbarrieren können die Cu3Si Bildung unterdrücken, bis sie selbst durch Silicierung und/oder intermetallische Phasenbildung aufgezehrt sind. Die Nitride der Metalle sind thermisch stabiler, weil Metall Stickstoff Bindungen erst aufgebrochen werden müssen. Mit dem Versagen der Barrieren treffen Cu und Si zusammen - mit der Folge der Kupfersilicidbildung. Sie kann grossflächig oder in Form mikrometergrosser und einige 100 mikrometer voneinander entfernt liegender Kristallite stattfinden. Für beide Degradationsmechanismen kann gezeigt werden, dass eine Barrierebewertung für unterschiedliche Methoden paradoxe Ergebnisse liefern kann. Die Cu Diffusion über die Diffusionsbarriere in das Si kann mit analytischen Methoden schon vor der Cu3Si Bildung nachgewiesen werden. Der Sperrstrom von pn oder Schottkydioden wird dadurch nicht bzw. nicht eindeutig verändert. Er reagiert erst, wenn sie durch Cu3Si Wachstum kurzgeschlossen sind. Ergebnisse parallel präparierter Referenzen mit Al Metallisierung belegen, dass die Diffusionsbarrieren gegen Cu gleich oder besser wirken als gegen Al.
4

Herstellung, Charakterisierung und Bewertung leitfähiger Diffusionsbarrieren auf Basis von Tantal, Titan und Wolfram für die Kupfermetallisierung von Siliciumschaltkreisen / Preparation, characterization and evaluation of conductive diffusion barriers based on tantalum, titanium and tungsten for the copper metallization of silicon integrated circuits

Baumann, Jens 21 May 2004 (has links) (PDF)
The thesis investigates the potential of thin films of Ta, Ti and W and their nitrides to suppress copper induced interactions in the contact area to silicon. Possible interactions between Cu and gaseos or solid materials within preparation and lifetime of an integrated circuit are summarized. The degradation mechanisms to be expected are the solution of Cu in Si and the formation of Cu3Si. Thin conductive diffusion barriiers are needed to suppress this mechanisms. The requirements on these barriers are discussed. The most important criterion, their resistivity, is determined by the place of application. The resitivity has to be lower than 100 mOhmcm for contacts and lower than 2000 mikroOhmcm for vias. The materials to be separated by a diffusion barrier can pass it by diffusion or the diffusion barrier can be destroyed by reaction (reactive diffusion). Therefore one can distinguish in passive and sacrificial barriers. The thin films were prepared by magnetron sputtering in Ar or Ar/N2 mixture. The films were characterized with respect to composition, phase/structure as well as their resulting electrical, optical, and mechanical properties. The appearance of new phases correlates with changes in process parameters like target voltage and condensation rate. All films - except for a small process window for amorphous/nanocrystalline WNx films - are polycrystalline. The influence of annealing steps in different ambients is investigated. Amorphous/nanocrystalline WNx films do recrystallize during annealing. For a direct contact of Cu to Si a sufficient energy supply during Cu depotsition or during following annealing (T> 200 °C) results in the formation of Cu3Si. The potential of diffusion barriers of different thicknesses and nitrogen contents to suppress this reaction is investigated for annealing steps up to 650 C. The characterization is performed by analytical methods, sheet resistance measurements as well as leakage current measurements (pn, np and schottky diodes). A diffusion barrier is able to suppress the Cu3Si formation, until itself is consumed by silicidation or intermetallic phase formation. The metal nitrides are more stable, since the present metal nitrogen bonding has to be broken before these reactions can start. With the failure of a diffusion barrier a Cu Si contact occurs with the consequence of copper silicide formation. The silicidation can be either homogeneous (on a large area) or in the form of crystallites several mikrometers in diameter. The distance between the crystallites is up to several 100 mikrometers. It is shown, that results of a barrier evaluation can be paradox if different methods are applied to the same sample. The diffusion of Cu accross a barrier into Si can be shown using analytical methods, already before the formation of Cu3Si. However, the leakage current of pn or schottky diodes is not or not unequivocal modified by this diffusion. The leakage current does not change before the diodes are shorted by the Cu3Si formation. The results of parallel prepared references with Al metallization show, that the diffusion barriers are more stable in a Cu metallization than in an Al metallization. (copying allowed) new: pdf version 1.4 / Die Arbeit beschreibt das Potential von Schichten des Ta, Ti, W und ihrer Nitride zur Unterdrückung kupferinduzierter Degradationen im Kontakt zu Silicium. Mögliche Wechselwirkungen zwischen Cu und den im Herstellungsprozess sowie der Lebensdauer von Schaltkreisen präsenten Gasen und Feststoffen werden zusammengestellt. Für das System Cu-Si sind als Degradationsmechanismen die Lösung von Cu und die Cu3Si Bildung zu erwarten. Die Anforderungen an die zur Unterdrückung der Degradationen notwendigen leitfähigen Diffusionsbarrieren werden diskutiert. Ihr spezifischer elektrischer Widerstand als wichtigstes Kriterium für die Integration wird vom Einsatzort bestimmt. Er muss für Kontakte unter 100 mOhm cm und für Vias unter 2000 mikroOhmcm liegen. Diffusionsbarrieren können von den zu trennenden Materialien durch Diffusion überwunden oder durch Reaktion (reaktive Diffusion) aufgezehrt werden. Damit kann in passive und Opferbarrieren unterschieden werden. Die Schichtherstellung erfolgt mit dem Verfahren der Magnetronzerstäubung in Ar oder Ar/N2 Atmosphäre. Sie werden hinsichtlich ihrer Zusammensetzung, Phase/Struktur sowie resultierender elektrischer, optischer und mechanischer Eigenschaften charakterisiert. Das Auftreten neuer Phasen korreliert mit Verlaufsänderungen einfach zugänglicher Prozessparameter wie Targetspannung und Kondensationsrate. Alle Schichten mit Ausnahme eines engen Prozessfensters für amorphes/nanokristallines WNx sind polykristallin. Der Einfluss von Temperungen in verschiedenen Medien wird untersucht. Amorphe/nanokristalline WNx Schichten rekristallisieren während Temperung. Für direkten Kontakt Cu zu Si führt ausreichende Energiezufuhr schon während der Abscheidung oder während nachfolgender Temperung (T > 200 °C) zur Cu3Si Bildung. Das Potential der Diffusionsbarrieren zur Unterdrückung dieser Reaktion wird für unterschiedliche Dicken und Stickstoffgehalte nach Temperungen bis maximal 650 C untersucht. Dazu werden analytische Methoden, Schichtwiderstandsmessungen und Sperrstromdichtemessungen an pn, np und Schottkydioden eingesetzt. Die Diffusionsbarrieren können die Cu3Si Bildung unterdrücken, bis sie selbst durch Silicierung und/oder intermetallische Phasenbildung aufgezehrt sind. Die Nitride der Metalle sind thermisch stabiler, weil Metall Stickstoff Bindungen erst aufgebrochen werden müssen. Mit dem Versagen der Barrieren treffen Cu und Si zusammen - mit der Folge der Kupfersilicidbildung. Sie kann grossflächig oder in Form mikrometergrosser und einige 100 mikrometer voneinander entfernt liegender Kristallite stattfinden. Für beide Degradationsmechanismen kann gezeigt werden, dass eine Barrierebewertung für unterschiedliche Methoden paradoxe Ergebnisse liefern kann. Die Cu Diffusion über die Diffusionsbarriere in das Si kann mit analytischen Methoden schon vor der Cu3Si Bildung nachgewiesen werden. Der Sperrstrom von pn oder Schottkydioden wird dadurch nicht bzw. nicht eindeutig verändert. Er reagiert erst, wenn sie durch Cu3Si Wachstum kurzgeschlossen sind. Ergebnisse parallel präparierter Referenzen mit Al Metallisierung belegen, dass die Diffusionsbarrieren gegen Cu gleich oder besser wirken als gegen Al. (Kopiermöglichkeit) neu: PDF-Version 1.4
5

Untersuchungen zum Phasenbestand und zu den thermischen und kalorischen Eigenschaften von Seltenerdselenoxiden der quasibinären Systeme SE2O3-SeO2 (SE = Y; Nd; Sm)

Zhang-Preße, Mei 25 April 2001 (has links)
No description available.
6

Untersuchung der topotaktischen Reaktion von Calciumdisilicid mit Ammoniumbromid

Haberecht, Jörg 22 November 2001 (has links)
Im Rahmen der vorliegenden Arbeit ist es gelungen, ein Siliciumsubnitrid der Summenformel Si2N chemisch und strukturell zu charakterisieren. Die braune, metastabile Verbindung ist über eine topotaktische Reaktion aus der Zintl-Phase Calciumdisilicid und Ammoniumbromid im molaren Verhältnis 1:2 zugänglich. Charakteristisch für das Siliciumsubnitrid sind eine schichtartige Morphologie und perfekte Spaltbarkeit parallel zu den Schichten. Thermoanalytische und röntgenografische Untersuchungen belegen, dass die Reaktion im Temperaturbereich 165°- 350°C abläuft. Beim Einsatz pulverförmiger Eduktmischungen wird das Siliciumsubnitrid als röntgenamorphes Pulver im mikroskopischen Gemisch mit kristallinem CaBr2 erhalten. Die Abtrennung des Calciumbromids gelingt bisher nur unvollständig. Mit spektroskopischen Methoden (NMR, IR und Raman) konnten die Baueinheiten im Siliciumsubnitrid identifiziert werden. Stickstoff liegt im Siliciumsubnitrid in Form einer trigonalen [N(Si)3]-Koordination vor. Über REDOR-NMR-Experimente wurde belegt, dass das Subnitrid zwei unterschiedliche Siliciumspezies, eine [Si(Si4)]- und eine [Si(Si,N3)]-Umgebung, enthält. Ergebnisse elektronenmikroskopischer Untersuchungen (REM, TEM) sind mit der Bildung des Siliciumsubnitrids über eine topotaktische Reaktion im Sinne des Erhalts schichtartiger Strukturverbände aus dem Calciumdisilicid vereinbar. Im Transmissionselektronenmikroskop werden extrem dünne Schichten beobachtet. Beugungsexperimente (SAD) an partiell geordneten Bereichen zeigen den Erhalt eines hexagonalen Reflexmusters. Die topotaktische Reaktion wurde auch mit CaSi2-Einkristallen durchgeführt, deren Oberflächen durch Aufsublimation mit Ammoniumbromid belegt waren. Die Untersuchung von Edukt und Produkt mit Röntgen-Einkristallbeugungsmethoden deutet auf weitgehende Erhaltung einer periodischen Struktur während der topotaktischen Reaktion hin. Die neue Verbindung kann durch elektrisch neutrale Schichtpakete von etwa 650 pm beschrieben werden.
7

Herstellung, Charakterisierung und Bewertung leitfähiger Diffusionsbarrieren auf Basis von Tantal, Titan und Wolfram für die Kupfermetallisierung von Siliciumschaltkreisen

Baumann, Jens 20 June 2003 (has links)
Summary (english): The thesis investigates the potential of thin films of Ta, Ti and W and their nitrides to suppress copper induced interactions in the contact area to silicon. Possible interactions between Cu and gaseos or solid materials within preparation and lifetime of an integrated circuit are summarized. The degradation mechanisms to be expected are the solution of Cu in Si and the formation of Cu3Si. Thin conductive diffusion barriiers are needed to suppress this mechanisms. The requirements on these barriers are discussed. The most important criterion, their resistivity, is determined by the place of application. The resitivity has to be lower than 100 mOhmcm for contacts and lower than 2000 mikroOhmcm for vias. The materials to be separated by a diffusion barrier can pass it by diffusion or the diffusion barrier can be destroyed by reaction (reactive diffusion). Therefore one can distinguish in passive and sacrificial barriers. The thin films were prepared by magnetron sputtering in Ar or Ar/N2 mixture. The films were characterized with respect to composition, phase/structure as well as their resulting electrical, optical, and mechanical properties. The appearance of new phases correlates with changes in process parameters like target voltage and condensation rate. All films - except for a small process window for amorphous/nanocrystalline WNx films - are polycrystalline. The influence of annealing steps in different ambients is investigated. Amorphous/nanocrystalline WNx films do recrystallize during annealing. For a direct contact of Cu to Si a sufficient energy supply during Cu depotsition or during following annealing (T> 200 °C) results in the formation of Cu3Si. The potential of diffusion barriers of different thicknesses and nitrogen contents to suppress this reaction is investigated for annealing steps up to 650 °C. The characterization is performed by analytical methods, sheet resistance measurements as well as leakage current measurements (pn, np and schottky diodes). A diffusion barrier is able to suppress the Cu3Si formation, until itself is consumed by silicidation or intermetallic phase formation. The metal nitrides are more stable, since the present metal nitrogen bonding has to be broken before these reactions can start. With the failure of a diffusion barrier a Cu Si contact occurs with the consequence of copper silicide formation. The silicidation can be either homogeneous (on a large area) or in the form of crystallites several mikrometers in diameter. The distance between the crystallites is up to several 100 mikrometers. It is shown, that results of a barrier evaluation can be paradox if different methods are applied to the same sample. The diffusion of Cu accross a barrier into Si can be shown using analytical methods, already before the formation of Cu3Si. However, the leakage current of pn or schottky diodes is not or not unequivocal modified by this diffusion. The leakage current does not change before the diodes are shorted by the Cu3Si formation. The results of parallel prepared references with Al metallization show, that the diffusion barriers are more stable in a Cu metallization than in an Al metallization. / Zusammenfassung (detusch): Die Arbeit beschreibt das Potential von Schichten des Ta, Ti, W und ihrer Nitride zur Unterdrückung kupferinduzierter Degradationen im Kontakt zu Silicium. Mögliche Wechselwirkungen zwischen Cu und den im Herstellungsprozess sowie der Lebensdauer von Schaltkreisen präsenten Gasen und Feststoffen werden zusammengestellt. Für das System Cu-Si sind als Degradationsmechanismen die Lösung von Cu und die Cu3Si Bildung zu erwarten. Die Anforderungen an die zur Unterdrückung der Degradationen notwendigen leitfähigen Diffusionsbarrieren werden diskutiert. Ihr spezifischer elektrischer Widerstand als wichtigstes Kriterium für die Integration wird vom Einsatzort bestimmt. Er muss für Kontakte unter 100 mOhm cm und für Vias unter 2000 mikroOhmcm liegen. Diffusionsbarrieren können von den zu trennenden Materialien durch Diffusion überwunden oder durch Reaktion (reaktive Diffusion) aufgezehrt werden. Damit kann in passive und Opferbarrieren unterschieden werden. Die Schichtherstellung erfolgt mit dem Verfahren der Magnetronzerstäubung in Ar oder Ar/N2 Atmosphäre. Sie werden hinsichtlich ihrer Zusammensetzung, Phase/Struktur sowie resultierender elektrischer, optischer und mechanischer Eigenschaften charakterisiert. Das Auftreten neuer Phasen korreliert mit Verlaufsänderungen einfach zugänglicher Prozessparameter wie Targetspannung und Kondensationsrate. Alle Schichten mit Ausnahme eines engen Prozessfensters für amorphes/nanokristallines WNx sind polykristallin. Der Einfluss von Temperungen in verschiedenen Medien wird untersucht. Amorphe/nanokristalline WNx Schichten rekristallisieren während Temperung. Für direkten Kontakt Cu zu Si führt ausreichende Energiezufuhr schon während der Abscheidung oder während nachfolgender Temperung (T> 200 °C) zur Cu3Si Bildung. Das Potential der Diffusionsbarrieren zur Unterdrückung dieser Reaktion wird für unterschiedliche Dicken und Stickstoffgehalte nach Temperungen bis maximal 650 °C untersucht. Dazu werden analytische Methoden, Schichtwiderstandsmessungen und Sperrstromdichtemessungen an pn, np und Schottkydioden eingesetzt. Die Diffusionsbarrieren können die Cu3Si Bildung unterdrücken, bis sie selbst durch Silicierung und/oder intermetallische Phasenbildung aufgezehrt sind. Die Nitride der Metalle sind thermisch stabiler, weil Metall Stickstoff Bindungen erst aufgebrochen werden müssen. Mit dem Versagen der Barrieren treffen Cu und Si zusammen - mit der Folge der Kupfersilicidbildung. Sie kann grossflächig oder in Form mikrometergrosser und einige 100 mikrometer voneinander entfernt liegender Kristallite stattfinden. Für beide Degradationsmechanismen kann gezeigt werden, dass eine Barrierebewertung für unterschiedliche Methoden paradoxe Ergebnisse liefern kann. Die Cu Diffusion über die Diffusionsbarriere in das Si kann mit analytischen Methoden schon vor der Cu3Si Bildung nachgewiesen werden. Der Sperrstrom von pn oder Schottkydioden wird dadurch nicht bzw. nicht eindeutig verändert. Er reagiert erst, wenn sie durch Cu3Si Wachstum kurzgeschlossen sind. Ergebnisse parallel präparierter Referenzen mit Al Metallisierung belegen, dass die Diffusionsbarrieren gegen Cu gleich oder besser wirken als gegen Al.
8

Herstellung, Charakterisierung und Bewertung leitfähiger Diffusionsbarrieren auf Basis von Tantal, Titan und Wolfram für die Kupfermetallisierung von Siliciumschaltkreisen

Baumann, Jens 20 June 2003 (has links)
The thesis investigates the potential of thin films of Ta, Ti and W and their nitrides to suppress copper induced interactions in the contact area to silicon. Possible interactions between Cu and gaseos or solid materials within preparation and lifetime of an integrated circuit are summarized. The degradation mechanisms to be expected are the solution of Cu in Si and the formation of Cu3Si. Thin conductive diffusion barriiers are needed to suppress this mechanisms. The requirements on these barriers are discussed. The most important criterion, their resistivity, is determined by the place of application. The resitivity has to be lower than 100 mOhmcm for contacts and lower than 2000 mikroOhmcm for vias. The materials to be separated by a diffusion barrier can pass it by diffusion or the diffusion barrier can be destroyed by reaction (reactive diffusion). Therefore one can distinguish in passive and sacrificial barriers. The thin films were prepared by magnetron sputtering in Ar or Ar/N2 mixture. The films were characterized with respect to composition, phase/structure as well as their resulting electrical, optical, and mechanical properties. The appearance of new phases correlates with changes in process parameters like target voltage and condensation rate. All films - except for a small process window for amorphous/nanocrystalline WNx films - are polycrystalline. The influence of annealing steps in different ambients is investigated. Amorphous/nanocrystalline WNx films do recrystallize during annealing. For a direct contact of Cu to Si a sufficient energy supply during Cu depotsition or during following annealing (T> 200 °C) results in the formation of Cu3Si. The potential of diffusion barriers of different thicknesses and nitrogen contents to suppress this reaction is investigated for annealing steps up to 650 C. The characterization is performed by analytical methods, sheet resistance measurements as well as leakage current measurements (pn, np and schottky diodes). A diffusion barrier is able to suppress the Cu3Si formation, until itself is consumed by silicidation or intermetallic phase formation. The metal nitrides are more stable, since the present metal nitrogen bonding has to be broken before these reactions can start. With the failure of a diffusion barrier a Cu Si contact occurs with the consequence of copper silicide formation. The silicidation can be either homogeneous (on a large area) or in the form of crystallites several mikrometers in diameter. The distance between the crystallites is up to several 100 mikrometers. It is shown, that results of a barrier evaluation can be paradox if different methods are applied to the same sample. The diffusion of Cu accross a barrier into Si can be shown using analytical methods, already before the formation of Cu3Si. However, the leakage current of pn or schottky diodes is not or not unequivocal modified by this diffusion. The leakage current does not change before the diodes are shorted by the Cu3Si formation. The results of parallel prepared references with Al metallization show, that the diffusion barriers are more stable in a Cu metallization than in an Al metallization. (copying allowed) new: pdf version 1.4 / Die Arbeit beschreibt das Potential von Schichten des Ta, Ti, W und ihrer Nitride zur Unterdrückung kupferinduzierter Degradationen im Kontakt zu Silicium. Mögliche Wechselwirkungen zwischen Cu und den im Herstellungsprozess sowie der Lebensdauer von Schaltkreisen präsenten Gasen und Feststoffen werden zusammengestellt. Für das System Cu-Si sind als Degradationsmechanismen die Lösung von Cu und die Cu3Si Bildung zu erwarten. Die Anforderungen an die zur Unterdrückung der Degradationen notwendigen leitfähigen Diffusionsbarrieren werden diskutiert. Ihr spezifischer elektrischer Widerstand als wichtigstes Kriterium für die Integration wird vom Einsatzort bestimmt. Er muss für Kontakte unter 100 mOhm cm und für Vias unter 2000 mikroOhmcm liegen. Diffusionsbarrieren können von den zu trennenden Materialien durch Diffusion überwunden oder durch Reaktion (reaktive Diffusion) aufgezehrt werden. Damit kann in passive und Opferbarrieren unterschieden werden. Die Schichtherstellung erfolgt mit dem Verfahren der Magnetronzerstäubung in Ar oder Ar/N2 Atmosphäre. Sie werden hinsichtlich ihrer Zusammensetzung, Phase/Struktur sowie resultierender elektrischer, optischer und mechanischer Eigenschaften charakterisiert. Das Auftreten neuer Phasen korreliert mit Verlaufsänderungen einfach zugänglicher Prozessparameter wie Targetspannung und Kondensationsrate. Alle Schichten mit Ausnahme eines engen Prozessfensters für amorphes/nanokristallines WNx sind polykristallin. Der Einfluss von Temperungen in verschiedenen Medien wird untersucht. Amorphe/nanokristalline WNx Schichten rekristallisieren während Temperung. Für direkten Kontakt Cu zu Si führt ausreichende Energiezufuhr schon während der Abscheidung oder während nachfolgender Temperung (T > 200 °C) zur Cu3Si Bildung. Das Potential der Diffusionsbarrieren zur Unterdrückung dieser Reaktion wird für unterschiedliche Dicken und Stickstoffgehalte nach Temperungen bis maximal 650 C untersucht. Dazu werden analytische Methoden, Schichtwiderstandsmessungen und Sperrstromdichtemessungen an pn, np und Schottkydioden eingesetzt. Die Diffusionsbarrieren können die Cu3Si Bildung unterdrücken, bis sie selbst durch Silicierung und/oder intermetallische Phasenbildung aufgezehrt sind. Die Nitride der Metalle sind thermisch stabiler, weil Metall Stickstoff Bindungen erst aufgebrochen werden müssen. Mit dem Versagen der Barrieren treffen Cu und Si zusammen - mit der Folge der Kupfersilicidbildung. Sie kann grossflächig oder in Form mikrometergrosser und einige 100 mikrometer voneinander entfernt liegender Kristallite stattfinden. Für beide Degradationsmechanismen kann gezeigt werden, dass eine Barrierebewertung für unterschiedliche Methoden paradoxe Ergebnisse liefern kann. Die Cu Diffusion über die Diffusionsbarriere in das Si kann mit analytischen Methoden schon vor der Cu3Si Bildung nachgewiesen werden. Der Sperrstrom von pn oder Schottkydioden wird dadurch nicht bzw. nicht eindeutig verändert. Er reagiert erst, wenn sie durch Cu3Si Wachstum kurzgeschlossen sind. Ergebnisse parallel präparierter Referenzen mit Al Metallisierung belegen, dass die Diffusionsbarrieren gegen Cu gleich oder besser wirken als gegen Al. (Kopiermöglichkeit) neu: PDF-Version 1.4

Page generated in 0.0903 seconds