• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 20
  • 2
  • 1
  • 1
  • 1
  • Tagged with
  • 29
  • 29
  • 11
  • 7
  • 7
  • 7
  • 7
  • 6
  • 6
  • 5
  • 5
  • 5
  • 5
  • 4
  • 4
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Study on the Electrical Analysis and Physical Mechanism of Nanocrystal Nonvolatile Memory

Wang, Ren-You 01 August 2007 (has links)
The conventional floating gate NVSM will suffer some limitations for continued scaling of the device structure. The floating gate is a continuous semiconductor thin film which charges are stored in and able to move around. With the scaling of tunneling oxide, the thickness is decreased gradually. Once the tunneling oxide has been created a leaky path, all the stored charges in the FG will be lost after numerous counts of write/erase operation. When the tunnel oxide is thinner, the phenomenon happens more easily but the speed of write/erase operation is quicker. Therefore, there is a tradeoff between speed and reliability.Therefore, two approaches, the silicon-oxide-nitride-oxide-silicon (SONOS) and the nanocrystal nonvolatile memory devices, have been investigated to overcome the limit of the conventional floating gate NVSM. In this thesis, the nonvolatile nanocrystal memory structures were proposed for electrical analysis and physical mechanism studied. We proposed two nanocrystal memory, silicon nanocrystal memory and nickel-silicide nanocrystal memory. The silicon nanocrystal memories have standard sample and nitridation sample. The interface between the nitride and Si-dots can offer extra trap cites for electrons storage. And the nickel-silicide dots memory has standard sample and high-k sample. The HfO2 layer for control oxide can increase the electric field of the tunnel oxide to get better programming efficiency.
2

Study on the Electrical Analysis and Physical Mechanism of Nonvolatile Memory with Ni Nanodots

Chang, Chih-Ming 25 July 2006 (has links)
In a conventional nonvolatile memory, charge is stored in a polysilicon floating gate (FG) surrounded by dielectrics. The scaling limitation stems from the requirement of very thin tunnel oxide layer. For FG, once the tunnel oxide develops a leaky path under repeated write/erase operation, all the stored charge will be lost.Therefore, the thickness of the tunnel oxide can not be scaled down to about 7 nm. To alleviate the scaling limitation of the conventional FG device while preserving the fundamental operating principle of the memory, we have studied the distributed charge storage approach such as the nanocrystal nonvolatile memory. Each nanodot will typically store only a handful of electrons; collectively the charges stored in these dots control the channel conductivity of the memory device. Nanocrystal charge storage offers several advantages, the main one being the potential to use thinner tunnel oxide without sacrificing non-volatility. This is a quite attractive proposition since reducing the tunnel oxide thickness is a key to lowering operating voltages and/or increasing operating speeds. The improved scalability results not only from the distributed nature of the charge storage, which makes the storage more robust and fault-tolerant, but also from the beneficial effects of Coulomb blockade. A local leaky path will not cause a fatal loss of information for the nanocrystal non-volatile memory device. Also, the nanocrystal memory device can maintain good retention characteristics and lower the power consumption. In recent years, nonvolatile memory with nanocrystals cell have widely applied to overcome the issue of operation and reliability for conventional floating gate memory. The excellent electrical characteristics of memory device need good endurance, long retention time and small operation voltage. Among numerous memory devices with nanocrystals, the memory device with metal nanocrystals was widely researched. It will be a new candidate for flash memory. The advantages of metal nanocrystals has have higher density of states around Fermi level, stronger coupling with conduction channel, wide range of available work functions and smaller energy perturbation due to carrier confinement. So metal nanocrystals can reduce operate voltage, and increase write/erase speed and endurance. Most important of all, we can control the sizes of nanocrystals dot and manufacture at low temperature¡CThis advantage can apply to thin film transistor liquid crystal display; it fabricates driving IC and logical IC on panel for diverseness and adds memory beside switch TFT as image storage to reduce power consumption for portability. In this thesis, we will discuss metal nanocrystals as memory storage medium. And we can use high temperature oxidation, low temperature annealing with oxygen to form nanocrystals. Most importantly, we analyze the effect of electron storage at metal nanocrystals by means of material and electrical analysis.
3

Formation of Co-Si-N nanocrystal for nonvolatile memory application

Liu, Tzu-Chia 25 June 2009 (has links)
Current requirement of nonvolatile memory (NVM) are high density cell, low-power wastage, high speed operation, and good reliability for the scaling down device. In a conventional nonvolatile memory, once the tunnel oxide develops a leaky path under repeated write/erase operation, all the stored charge will be lost. Therefore, the tunnel oxide thickness is incapable to scale down in terms of charge retention and endurance characteristics. Therefore conventional floating gate (FG) nonvolatile memories (NVMs) present critical issues on device scalability beyond the sub-50nm node. The nonvolatile nanocrystal memories are one of promising candidates to substitute for the conventional floating gate (FG) memories, because the nanocrystal memories storage charge by separated node. So it is not major influence of charge lost from partial oxide layer. The thickness of tunnel oxide can be reduce also can maintain good retention, therefore it is key to lowering operating voltages and increasing operating speeds. Also reduce device to increasing the density of device. The advantages of metal nano-dot compared with other material counterparts include stronger coupling with the conduction channel, a wide range of available work functions, and higher density of states around the Fermi level. Because these advantages. It is possibility of metal nanocrystals nonvolatile memory fabricated in industry in practice. In this thesis, an ease and low temperature fabrication technique of Co-Si-N nanocrystals was demonstrated for the application of nonvolatile memory. The nonvolatile memory structure of Co-Si-N nanocrystals embedded in the SiOx layer was fabricated by sputtering a co-mix target (CoSi2) in an Ar/N2 environment at room temperature. It can be considered that the nitrogen plays a critical role during sputter process for the formation of nanocrystal. In addition, the high density (~1012 cm-2) nanocrystal can be simple and uniform to be fabricated in our study. We also proposed a formation of Co-Si-N nanocrystals by sputtering a co-mix target (CoSi2) in the Ar/NH3 environment at room temperature. It was also found that high density Ni-Si-N nanocrystals embedded in the silicon nitride (SiNx) and larger memory effect. A rapid thermal annealing (RTA) with process temperature at 700¢XC¡B800¢XC and short duration (60sec) was used to form nanocrystals. The charge storage layer of nanorystals embedded in SiNx shows larger memory window and better reliability over nanocrystals embedded in SiOx, due to different distributions of electronic field .
4

Nonvolatile SONOS-TFT Memory with Nanowire Structure

Chin, Jing-yi 13 July 2007 (has links)
The conventional floating gate NVSM will suffer some limitations for continued scaling of the device structure. Therefore, the silicon-oxide-nitride-oxide-silicon (SONOS) and the nanocrystal nonvolatile memory devices, have been investigated to overcome the limit of the conventional floating gate NVSM. For driving device application, we have used multilayer ONO gate dielectrics to make change the effective dielectric constant. The proposed TFT with ONO gate dielectrics have better gate control ability. On the other hand, nanowire has larger electric-field in the corner region at the same voltage. The SONOS-TFT with multiple nanowire channels have superior electrical characteristic, such as lower threshold voltage, higher On/Off ratio, steeper subthreshold slope, and superior driving ability. The memory characteristic of standard SONOS-TFT, channel width of the device is 1£gm, was compared with the nanowires SONOS-TFT, each channel width of the device is 65nm. The SONOS-TFT with multiple nanowires structure (NW SONOS-TFT) has good program/erase efficiency, retention, transfer characteristics and can suppress gate injection effectively. These characteristics are due to the larger electric field at the corner region and more number of corners. The NW SONOS-TFTs can be treated as high performance devices and also as high program/erase efficiency nonvolatile memory under adequate voltage range operation. In this thesis, the P/E characteristics at different temperatures will also be measured and discussed. The fabrication of SONOS-TFTs with nano-wire channels is quite easy and involves no additional processes. Such a SONOS-TFT is there by highly promising for application in the future system-on-panel display applications. The SONOS-TFTs combined the TFT and memory properties at the same time. Furthermore, the process flow is compatible with conventional poly-Si TFTs fabrication without additional process steps. Hence, the application of SONOS TFTs structure can reach the goal of system on panel (SOP) in the future.
5

Research on Fabrication and Physical Mechanisms of Next-Generation Novel Nonvolatile Resistive Memory Devices

Syu, Yong-En 17 July 2012 (has links)
Resistive Random Access Memory (RRAM) is considered as the most promising candidate for the next-generation nonvolatile memories due to their superior properties such as low operation voltage, fast operation speed, non-destructive read, simple metal-insulator-metal (MIM) sandwich structure, good scale-down ability. The main targets of this research are to clarify the corresponding physical mechanism, develop the potential material and structure of RRAM and stabilize the resistive switching characteristics, in which clarifying the physical mechanism will be the key factor for RRAM into production in the future. Recent research has suggested that variation of the low and high resistance states in RRAM could be caused due to the by instability in the formation and /disruption of the filament. In addition, the endurance and stability of RRAM may be related to the dissipation of oxygen ions in the switching layer. In this study, new material (Si Introduced) and structure (oxygen confined layer) are employed to improve RRAM performance and to clarify the physical mechanism. Furthermore, constant switching energy results can be used to select the optimal materials and structures also can be used to correctly allocate voltage and time to control RRAM. The detail physical mechanism is studied by the stable RRAM device (Ti/HfO2/TiN) which is offered from Industrial Technology Research Institute (ITRI). The switching process is proved as the formation/disruption of the filament. Furthermore, the dynamic switching behaviors during reset procedure in RRAM were analyzed by the sequential experimental design to illustrate the procedure of atomic quantized reaction at the ultra-cryogenic temperature.
6

Investigation and Fabrication of Nonvolatile Memory Devices with Tungsten Nanocrystals Embedded in Dielectric Layers

Weng, Li-wen 16 July 2007 (has links)
In a conventional nonvolatile memory (NVM), charge is stored in a ploy-silicon floating gate (FG) surrounded by dielectrics. But, it will suffer some limitations for continued scaling of the device structure. Therefore, the nanocrystal nonvolatile memory devices have been investigated to overcome the limit of the conventional floating gate NVM in recently years. Nanocrystal charge storage offers several advantages, the main one being the potential to use thinner tunnel oxide without sacrificing nonvolatility. This is a quite attractive proposition since reducing the tunnel oxide thickness is a key to lowering operating voltage and/or increasing operating speeds. In this thesis, we have fabricated tungsten (W) nanocrystals nonvolatile memory devices. A thin tungsten silicide (W5Si3) layer was deposited on tunnel oxide layer first. The following oxidation was performed in furnace system. The W element tends to segregate downward and precipitate on the tunnel oxide after thermal oxidation. In addition, the silicon element is oxidized into silicon dioxide surrounded tungsten nanocrystals. Also, the carrier gas, such as O2 and N2, were also added as the tungsten silicide deposition. The memory effect and the electrical reliability for W nanocrystals surrounded in different dielectric were also investigated in this study. In addition, the formation mechanism of W nanocrystals with additional silicon oxide capped on tungsten silicide was also investigated. The thicker silicon oxide can effectively control the thermal oxidation condition and prevent thin film degradation. However, the overall oxidation cause the memory window reduction and the electrical characteristics degradation, resulted from the partially oxidation of W nanocrystal to metal-incorporated dielectric. By contrast, we also demonstrated the structure that deposited the charge trapping layer by co-sputtered W and dielectric material as SiO2 or Si3N4 to directly form the W nanocrystal embedded in dielectrics. Besides, the W and Si directly deposited by co-sputtered to adjust the two elements contained ratio had investigated as well in this study. Furthermore, the memory effect and electrical characteristics for germanium (Ge) element incorporated W nanocrystal memory were also discussed. The additional storage element contributes the memory effect. In summary, the memory effect for W nanaocrystal embedded in different dielectric, the effect of the thermal treatment for additional silicon oxide incorporation, and the contribution of the Ge element to the memory effect can be obtained from the fabrication of W nanocrystal memory were finished in this study.
7

Fabrications and Characteristics of Nonvolatile Memory Devices with Sn Nanocrystals Embedded in MIS Structure

Chen, Chao-Yu 26 June 2009 (has links)
Current requirements of nonvolatile memory (NVM) are the high density cells, low-power consumption, high-speed operation and good reliability for the scaling down devices. However, all of the charges stored in the floating gate will leak into the substrate if the tunnel oxide has a leakage path in the conventional NVMs. Therefore, the tunnel oxide thickness is difficult to scale down in terms of charge retention and endurance. The nanocrystal nonvolatile memories are one of promising substitution, because the discrete storage nodes as the charge storage media have been effectively improve data retention under endurance test for the scaling down device. Many methods have been developed recently for the formation of nanocrystals. Generally, most methods need thermal treatment with high temperature and long duration. This procedure will influence thermal budget and throughput in current manufacture technology of semiconductor industry. And supercritical carbon dioxide (SCCO2) has been researched to the passivation of dielectric and reducing the activation energy. The research estimates SCCO2 is potential to form nanocrystals for these reason. This research is to discuss the feasibility of fabricating nanocrystal NVMs device with low temperature SCCO2. The low melting point metal material Sn is used for the attempts. In order to check if Sn can be used for fabricating nanocrystal NVMs device, the research selects the conventional thermal annealing method first. It uses rapid thermal annealing to improve the crystalline of nanocrystals and reliability of the memory device. Compare to different Sn containment or chemistry and different process, analyze the electric characteristics and materials chemistry. At last, select the Sn and SiO2 co-sputtering film to try the SCCO2 process and analyze these characteristics as well. Due to the novel technology, many physical mechanism and improvement of properties will be discuss following.
8

Device design and process integration of high density nonvolatile memory devices

Ferdousi, Fahmida 16 June 2011 (has links)
This research focuses on device design and process integration of high density nonvolatile memory devices. Research was carried out to improve scaling of floating gate memories by increasing charge density as well as spin-based memories by reducing critical switching current. This work demonstrates fabrication of CMOS-compatible nonvolatile hybrid memory device using fullerene molecules as a floating gate. Molecules have dimensions of several Angstroms resulting in an electron density of ~10¹³ cm⁻² or higher. In hybrid MOSCAPs, fullerenes were encapsulated between inorganic oxides, i.e. SiO₂ as a tunnel oxide and HfO₂ as a control oxide. Introduction of a high-k material as a control oxide improves capacitive coupling between control gate and floating gate as well as the program/erase efficiency. The MOS capacitors demonstrate nonvolatile memory operation at room temperature. The device data infers that program/erase mechanism in fullerene devices is Fowler-Nordheim tunneling; however, retention is determined by trap-assisted tunneling. The next part of the work focused on spin-transfer-torque (STT) based magnetic memory. Spin-based memory has the unique potential to be the universal memory because of its high density, fast switching, and nonvolatility. This work presents STT switching of perpendicular magnetic anisotropy (PMA) spin-valves with tilted magnetization using point contact measurement. The PMA materials have high coercivity resulting in good retention and tilted magnetization induces precessional switching resulting in a lower switching current density. First, micromagnetic simulations were performed for spin-valves with tilted magnetization and precessional switching was observed to reduce the switching current. Then, spin-valve structures were fabricated by e-beam evaporation. The structure consisted of Co/Pt and Co/Ni layers, where the thickness of the layers was optimized to obtain different amount of tilt in magnetization. Point contact measurements of tilted spin-valves show STT switching, where the switching field of the free layer varies with the magnitude and sign of the applied current. The observed STT effect is stronger in a 45° tilted spin-valve compared to a 12° tilted device presumably due to the tilted spin polarization. However, tilting introduces nonuniform effective field and canting of the domains which affect the STT. / text
9

Writing on Dirty Memory

Kim, Yongjune 01 July 2016 (has links)
Non-volatile memories (NVM) including flash memories and resistive memories have attracted significant interest as data storage media. Flash memories are widely employed in mobile devices and solid-state drives (SSD). Resistive memories are promising as storage class memory and embedded memory applications. Data reliability is the fundamental requirement of NVM as data storage media. However, modern nano-scale NVM suffers from challenges of inter-cell interference (ICI), charge leakage, and write endurance, which threaten the reliability of stored data. In order to cope with these adverse effects, advanced coding techniques including soft decision decoding have been investigated actively. However, current coding techniques do not capture the physical properties of NVM well, so the improvement of data reliability is limited. Although soft decision decoding improves the data reliability by using soft decision values, it degrades read speed performance due to multiple read operations needed to obtain soft decision values. In this dissertation, we explore coding schemes that use side information corresponding to the physical phenomena to improve the data reliability significantly. The side information is obtained before writing data into memory and incorporated during the encoding stage. Hence, the proposed coding schemes maintain the read speed whereas the write speed performance would be degraded. It is a big advantage from the perspective of speed performance since the read speed is more critical than the write speed in many memory applications. First, this dissertation investigates the coding techniques for memory with stuckat defects. The idea of coding techniques for memory with stuck-at defects is employed to handle critical problems of flash memories and resistive memories. For 2D planar flash memories, we propose a coding scheme that combats the ICI, which is a primary challenge of 2D planar flash memories. Also, we propose a coding scheme that reduces the effect of fast detrapping, a degradation factor in 3D vertical flash memories. Finally, we investigate the coding techniques that improve write endurance and power consumption of resistive memories.
10

Application and Study of Metal Nanocrystals for Low Power Nonvolatile Memory Device

Wu, Hsing-Hua 29 June 2004 (has links)
In recently years, nonvolatile memory with nanocrystals cell have widely applied to overcome the issue of operation and reliability for conventional floating gate memory. The excellent electrical characteristics of memory device need good endurance, long retention time and small operation voltage. Among numerous memory devices with nanocrystals, the memory device with metal nanocrystals was widely researched. It will be new candidate for flash memory. The advantages of metal nanocrystals has have higher density of states around Fermi level, stronger coupling with conduction channel, wide range of available work functions and smaller energy perturbation due to carrier confinement. So metal nanocrystals can reduce operate voltage, and increase write/erase speed and endurance. Most important of all, we can control the sizes of nanocrystals dot and manufacture at low temperature¡CThis advantage can apply to thin film transistor liquid crystal display; it fabricates driving IC and logical IC on panel for diverseness and adds memory beside switch TFT as image storage to reduce power consumption for portability. In this thesis, we will discuss metal nanocrystals as memory storage medium. And we can use high temperature oxidation, low temperature annealing with oxygen to form nanocrystals. Besides we analyze the effect of electron storage at metal nanocrystals by means of material and electrical analysis.

Page generated in 0.0877 seconds