• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 24
  • 7
  • 2
  • 1
  • 1
  • 1
  • Tagged with
  • 39
  • 33
  • 30
  • 10
  • 10
  • 9
  • 6
  • 6
  • 5
  • 4
  • 4
  • 4
  • 4
  • 4
  • 3
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Oxalic Acid Based Chemical Systems for Electrochemical Mechanical Planarization of Copper

Lowalekar, Viral Pradeep January 2006 (has links)
In an ECMP process, a wafer is anodically baised during polishing. The electrical potential is the driving force to oxidize copper metal to ions. Copper ions then react with chemistry in the electrolyte to go in solution or form a passivation layer on the surface. The passivation layer is removed by a very low downforce (0.5-1 psi), causing copper to electrochemically dissolve in solution. Passive film formation during copper ECMP is key to the success of this process, since passivation reduces dissolution in the recessed areas, while elevations on the copper surface in direct contact with the ECMP pad are electrochemically planarized. If no passive film forms, then copper removal will be conformal from the elevated and recessed areas, and planarity will be lost. Chemical formulations for the electrochemical mechanical planarization (ECMP) of copper must contain constituents that are stable at anodic potentials. A key component of the formulation is a corrosion inhibitor, which is required to protect low lying areas while higher areas are selectively removed. Organic compounds, which adsorb on copper at low overpotentials and form a film by oxidation at higher overpotentials, may be particularly useful for ECMP. The main goal of the research reported in this dissertation is to understand and develop oxalic acid-based chemical systems suitable for ECMP of copper through electrochemical and surface investigations. Special attention was paid to the development of an inhibitor, which can function under applied potential conditions. Physical methods such as profilometry and four point probe were used to obtain copper removal rates. An organic compound, thiosalicylic acid (TSA), was identified and tested as a potential corrosion inhibitor for copper. TSA offers better protection than the conventionally used benzotriazole (BTA) by oxidizing at high anodic potentials to form a passive film on the copper surface. The passive film formed on the copper surface by addition of TSA was characterized by X-ray photoelectron spectroscopy. The oxidation potential of TSA was characterized using cyclic voltammetry. The passivation and repassivation kinetics was investigated in detail and a passivation mechanism of copper in oxalic acid in the presence of TSA is proposed. Copper removal experiments were performed on a specially designed electrochemical abrasion cell (EC-AC) in both the presence and absence of inhibitors. The effect of anodic potentials on the dissolution of copper was studied to identify suitable conditions for the electro-chemical mechanical planarization process.
2

Processing, reliability, and integration issues in chemical mechanical planarization

Zantye, Parshuram B. January 2005 (has links)
Thesis (Ph. D.)--University of South Florida, 2005. / Title from PDF of title page. Document formatted into pages; contains 231 pages. Includes vita. Includes bibliographical references.
3

Triboelectrochemical Characterization of Microelectronic Materials

Joo, Suk Bae 02 October 2013 (has links)
Non-uniformity in chemical-mechanical planarization (CMP) due to diverse pattern geometry in copper damascene structures has been a critical limit to process yield. Fundamental understanding in tribology and electrochemistry is crucial to solve this problem. This research develops novel triboelectrochemical techniques to characterize the polished wafer surface and to understand mechanisms of materials removal. There are two approaches in this research. Experimentally, a setup containing a tribometer and a potentiostat was built. It enabled simultaneous measurement in friction coefficient and electrochemical response of wafer materials. Theoretically, electrochemical reactions and Hertzian contact were analyzed on ECMPed wafers in terms of mechanisms of step height reduction in anodic and cathodic ECMP in corresponds to surface chemistry. Results revealed the nature of limitation of ECMP for global planarization. In order to further the fundamental investigation of ECMP, the potentiostatic electrochemical impedance spectroscopy (EIS) was utilized to study the interface kinetics. It was revealed that the formation of Cu oxide films was affected by the electrical potentials. Through in situ measurement, it was found that the tribological behavior depend on the surface chemistry and surface morphology under the influence of anodic potentials. The potentiodynamic polarization results explained the removal and formation mechanisms of interface. The results showed that the cycle of passivation/removal was a function of mechanical factor such as the load and speed. The new model was developed via material removal rate (MRR) in both electrochemical and mechanical aspects. The quantitative contribution of electrochemical potential to overall removal was established for the first time. It was further confirmed by Ru and the electrochemical constant j was developed for metal ECMP. This dissertation includes seven chapters. Chapter I Introduction and II Motivation and Objectives are followed by the materials setup and testing conditions discussed in Chapter III. The tribological and electrochemical characterization of the Cu patterned geometry is discussed in Chapter IV. Chapter V discusses the kinetics of the interface during polishing and its removal mechanisms. Chapter VI discusses the synergism of ECMP, followed by Conclusions and Future work.
4

Mechanics of the pad-abrasive-wafer contact in chemical mechanical polishing a dissertation /

Bozkaya, Dinçer, January 1900 (has links)
Title from title page (viewed April 28, 2010) Graduate School of Engineering. Dept. of Mechanical and Industrial Engineering. Includes bibliographical references.
5

Surface planarization of Cu and CuNiSn Micro-bumps embedded in polymer for below 20μm pitch 3DIC applications

De Preter, Inge, Derakhshandeh, Jaber, Heylen, Nancy, Van Acker, Lut, June Rebibis, Kenneth, Miller, Andy, Beyer, Gerald, Beyne, Eric 22 July 2016 (has links) (PDF)
Planarization techniques such as Surface planer (better known as Fly-cut) and chemical-mechanical polishing (CMP) can be used to improve the bump roughness and bump height uniformity within the die and wafer which can be beneficial for solder based bump stacking and Cu-Cu direct bonding [1]. In this paper the influence of both planarization techniques on 20μm pitch Cu and CuNiSn bumps embedded in polymer are studied. The polymer protects the bumps from the shearing force of the planarization process and will later serve as a underfill material for the resulting gap of a 3D stack. The microbump planarization process will be discussed. Furthermore characterization of the bump height uniformity across the wafer using SEM and High Resolution Profilometry (HRP) is reported.
6

Characterization and Modeling of Chemical-Mechanical Polishing for Polysilicon Microstructures

Tang, Brian D., Boning, Duane S. 01 1900 (has links)
Long the dominant method of wafer planarization in the integrated circuit (IC) industry, chemical-mechanical polishing is starting to play an important role in microelectromechnical systems (MEMS). We present an experiment to characterize a polysilicon CMP process with the specific goal of examining MEMS sized test structures. We utilize previously discussed models and examine whether the same assumptions from IC CMP can be made for MEMS CMP. We find that CMP at the MEMS scale is not just pattern density dependent, but also partly dependent on feature size. Also, we find that new layout designs relevant to MEMS can negatively impact how well existing CMP models simulate polishing, motivating the need for further model development. / Singapore-MIT Alliance (SMA)
7

Research and Development of Ultraprecision Polisher with Continuous Composite Electroplated Polishing Disc and Polishing Characteristics of Silicon Wafer

Yao, Chang-Li 08 July 2002 (has links)
ABSTRACT The polishing stocks used in various ultra-precision polishing machines consist of abrasives, polishing disk (pad), and polishing fluids. They are expendable goods. To ensure the machining ability and the repeat accuracy of machining characteristics, the polishing disc (pad) must use the dressing mechanism to produce sharp new grains. As a result, the grinding surface on the abrasive wheel becomes thinner gradually, then losses it¡¦s machining ability, and finally must be changed. Hence, in this project, an idea of an ultra-precision abrasive machining is proposed by using the continuous composite electroplating on the polishing disc. In this idea, the machining ability of Cu polishing disc can be ensured due to the use of the continuous Sn-Al2O3 composite electroplating. Hence, it can save the cost of the ultra-precision machining using in the semiconductor wafer. In this study, after 60 minutes continuous composite electroplated polishing, the thickness of the composite coating on the surface of Cu polishing disc can increase 6.13£gm. It means the surface of disc can be grew and renewed at every moment. The removal amount of the wafer is 10.8£gm. The surface of wafer was Ra=0.5453£gm and Rmax=5.464£gm at the start ,but came to Ra=0.0019£gm and Planess=2.649£gm/36mm after 60 minutes polishing.
8

Drain diverter a thesis /

Kim, Hyung Joon, 1976- Cirovic, Michael M. January 1900 (has links)
Thesis (M.S.)--California Polytechnic State University, 2009. / Title from PDF title page; viewed on February 2, 2010. Major professor: Michael M. Cirovic, Ph.D. "Presented to the faculty of California Polytechnic State University, San Luis Obispo." "In partial fulfillment of the requirements for the degree [of] Master of Science in Electrical Engineering." "November 2009." Includes bibliographical references (p. 28).
9

Wear and Contact Phenomena in Existing and Future Large-Scale Chemical Mechanical Planarization Processes

Jiao, Yubo January 2012 (has links)
This dissertation presents a series of studies with regards to wear and contact phenomena in existing and future large-scale chemical mechanical planarization (CMP). They are also evaluated with the purposes of reducing cost of ownership (COO) and minimizing environmental impacts. The first study is performed to investigate the effect of retaining ring slot design, material and temperature on pad wear during interlayer dielectric CMP. During polishing, friction is generated at the retaining ring-pad interface resulting in pad wear. Three retaining rings are used in this study and the results show that the retaining ring material and temperature have significant impacts on the pad wear rate. In the next study, a custom-made sample holder is designed to heat pad sample. Pad surface contact area and density are measured at three different temperatures using laser confocal microscopy to illustrate the effect of temperature on the mechanical contact during CMP. In the third study, the tribological, thermal and kinetic attributes of 300 mm copper CMP process are investigated. The current state-of-the-art IC manufacturing factories have migrated from 200 mm wafer processes to 300 mm to reduce manufacturing COO and increase throughput. In this study, a two-step modified Langmuir-Hinshelwood model is used to simulate copper removal rate as well as chemical and mechanical dominance during CMP. The fourth study evaluates the relationship between planarization and pad surface contact area and micro-topography using laser confocal microscopy. Results of confocal microscopic analysis are correlated with polishing performance in terms of coefficient of friction, removal rate, time to clear, dishing and erosion. As Ti has recently regained attention in copper barrier applications, the effect of temperature during Ti CMP is investigated in another study to provide fundamental understanding of Ti removal mechanism. The last contribution of this dissertation involves a study on 450 mm CMP process. An existing 300 mm CMP tool is modified to polish both 300 and 450 mm wafers to demonstrate experimentally whether any differences exist in the tribological and thermal characteristics of the two processes, and from that, to infer whether one can expect any removal rate difference between the two systems.
10

Chemical Systems for Electrochemical Mechanical Planarization of Copper and Tantalum Films

Muthukumaran, Ashok Kumar January 2008 (has links)
Electro-Chemical Mechanical Planarization (ECMP) is a new and highly promising technology just reaching industrial application; investigation of chemistries, consumables, and tool/control approaches are needed to overcome technological limitations. Development of chemical formulations for ECMP presents several challenges. Unlike conventional CMP, formulations for ECMP may not need an oxidant. Organic additives, especially inhibitors used to control planarity (i.e. to protect recessed regions), need to be stable under applied anodic potential. To have a high current efficiency, the applied current should not induce decomposition of the formulations. In addition, to enable clearing of the copper film, the interactions between multiple exposed materials (barrier material as well as copper) must be considered. Development of a full sequence ECMP process would require the removal of the barrier layer as well. Chemical systems that exhibit a 1:1 selectivity between the barrier layer and copper would be ideal for the barrier removal step of ECMP. The main goal of this research is to investigate the chemistries suitable for ECMP of copper and tantalum films. Copper was electroplated onto the gold electrode of quartz crystals, and its dissolution/passivation behavior in hydroxylamine solutions was studied at different applied potential values. The dissolution rate of copper is pH dependent and exhibits a maximum in the vicinity of pH 6. Copper dissolution increases with respect to overpotential (η) and dissolution rates as high as 6000 Å/min have been obtained at overpotential of 750mV. While both benzotriazole (BTA) and salicylhydroxamic acid (SHA) serve as good inhibitors at lower overpotentials, their effectiveness decreases at higher overpotentials. A fundamental study was undertaken to evaluate the usefulness of a sulfonic acid based chemical system for the removal of tantalum under ECMP conditions. Tantalum as well as copper samples were polished at low pressures (~0.5 psi) under galvanostatic conditions in dihydroxy benzene sulfonic acid (DBSA) solutions maintained at different pH values. At a current density of 0.5 mA/cm² and a pH of 10, tantalum removal rate of 200 Å/min with a 1:1 selectivity to copper was obtained in 0.3M DBSA solutions containing 1.2M H₂O₂. The presence of a small amount (~ 0.1%) of colloidal silica particles was required to obtain good removal rates. A comparison of DBSA and methane sulfonic acid (MSA) based chemical system was studied for the removal of tantalum. The performance of DBSA is better than that of MSA. Additionally, DBSA solution has been used for tantalum nitride removal under ECMP conditions. However, DBSA is not as effective for tantalum nitride as it is for tantalum. Polishing of the patterned test structure in optimized solution containing 0.01M BTA results in complete removal of barrier layer and surface planarity is achieved.

Page generated in 0.1183 seconds