• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 40
  • 7
  • 4
  • 3
  • 2
  • 2
  • 1
  • Tagged with
  • 65
  • 23
  • 19
  • 16
  • 16
  • 14
  • 13
  • 11
  • 11
  • 11
  • 10
  • 8
  • 8
  • 7
  • 7
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Synthesis of 64 bit Energy Efficient and Reconfigurable Adder

Dara, chandra babu 01 January 2009 (has links)
An Abstract of the Thesis Adders are the core components in this present multimedia world. Data paths for media signal processing are built using adders and multipliers which can be reconfigured and used based on their word lengths. Reconfigurable adders have significant importance, because of increasing demand in multimedia devices such as cell phones, gaming consoles, music players etc. Our design is capable of processing data with variable word lengths without using any extra circuitry, the design is synthesis of 64 bit energy efficient reconfigurable adder which can perform one 64 bit, two 32 bit, four 16 bit and four 8 bit additions at a time. Our design uses carry skip adders so as to make the over all circuit work faster with less energy. In spite of having many faster adders such as carry propagation adder, carry look ahead adder, carry select adder and others, we choose carry skip adder because it uses less area and considerably less delay and energy. Our circuit has been designed as schematic in Xilinx and simulated using Modelsim synthesizer and was downloaded on an FPGA prototype board XSA Board V1.2. The power of the circuit is calculated using Xpower and delay, energy and energy delay product are compared with the Ripple carry adder. Table 1: Comparison table E=Energy(nJ) D=Delay(ns) E.D(nJ.ns) Ripple carry adder 12.16 155.92 1895.98 Designed adder 8.54 104.2 889.86 In this brief, the designed energy efficient reconfigurable adder is for multimedia designs minimizes the energy delay product, energy consumption, and delay considerably by using carry skip adder.
2

FARHAD: a Fault-Tolerant Power-Aware Hybrid Adder for High-Performance Processor

Hajkazemi, Mohammad Hossein 20 August 2013 (has links)
This thesis introduces an alternative Fault-Tolerant Power-Aware Hybrid Adder (or simply FARHAD) for high-performance processors. FARHAD, similar to earlier studies, relies on performing add operations twice to detect errors. Unlike previous studies, FARHAD uses an aggressive adder to produce the initial outcome and a low-power adder to generate the second outcome, referred to as the checker. FARHAD uses checkpoints, a feature already available to high-performance processors, to recover from errors. FARHAD achieves the high energy-efficiency of time-redundant solutions and the high performance of resource-redundant adders. We evaluate FARHAD from power and performance points of view using a subset of SPEC’2K benchmarks. Our evaluations show that FARHAD outperforms an alternative time-redundant solution by 20%. FARHAD reduces the power dissipation of an alternative resource-redundant adder by 40% while maintaining performance. / Graduate / 0544
3

Implementation of Pipelined Bit-parallel Adders

Wei, Lan January 2003 (has links)
<p>Bit-parallel addition can be performed using a number of adder structures with different area and latency. However, the power consumption of different adder structures is not well studied. Further, the effect of pipelining adders to increase the throughput is not well studied. In this thesis four different adders are described, implemented in VHDL and compared after synthesis. The results give a general idea of the time-delay-power tradeoffs between the adder structures. Pipelining is shown to be a good technique for increasing the circuit speed.</p>
4

Implementation of Pipelined Bit-parallel Adders

Wei, Lan January 2003 (has links)
Bit-parallel addition can be performed using a number of adder structures with different area and latency. However, the power consumption of different adder structures is not well studied. Further, the effect of pipelining adders to increase the throughput is not well studied. In this thesis four different adders are described, implemented in VHDL and compared after synthesis. The results give a general idea of the time-delay-power tradeoffs between the adder structures. Pipelining is shown to be a good technique for increasing the circuit speed.
5

Design tradeoff analysis of floating-point adder in FPGAs

Malik, Ali 19 August 2005 (has links)
Field Programmable Gate Arrays (FPGA) are increasingly being used to design high end computationally intense microprocessors capable of handling both fixed and floating-point mathematical operations. Addition is the most complex operation in a floating-point unit and offers major delay while taking significant area. Over the years, the VLSI community has developed many floating-point adder algorithms mainly aimed to reduce the overall latency. An efficient design of floating-point adder onto an FPGA offers major area and performance overheads. With the recent advancement in FPGA architecture and area density, latency has been the main focus of attention in order to improve performance. Our research was oriented towards studying and implementing standard, Leading One Predictor (LOP), and far and close data-path floating-point addition algorithms. Each algorithm has complex sub-operations which lead significantly to overall latency of the design. Each of the sub-operation is researched for different implementations and then synthesized onto a Xilinx Virtex2p FPGA device to be chosen for best performance. This thesis discusses in detail the best possible FPGA implementation for all the three algorithms and will act as an important design resource. The performance criterion is latency in all the cases. The algorithms are compared for overall latency, area, and levels of logic and analyzed specifically for Virtex2p architecture, one of the latest FPGA architectures provided by Xilinx. According to our results standard algorithm is the best implementation with respect to area but has overall large latency of 27.059 ns while occupying 541 slices. LOP algorithm improves latency by 6.5% on added expense of 38% area compared to standard algorithm. Far and close data-path implementation shows 19% improvement in latency on added expense of 88% in area compared to standard algorithm. The results clearly show that for area efficient design standard algorithm is the best choice but for designs where latency is the criteria of performance far and close data-path is the best alternative. The standard and LOP algorithms were pipelined into five stages and compared with the Xilinx Intellectual Property. The pipelined LOP gives 22% better clock speed on an added expense of 15% area when compared to Xilinx Intellectual Property and thus a better choice for higher throughput applications. Test benches were also developed to test these algorithms both in simulation and hardware. Our work is an important design resource for development of floating-point adder hardware on FPGAs. All sub components within the floating-point adder and known algorithms are researched and implemented to provide versatility and flexibility to designers as an alternative to intellectual property where they have no control over the design. The VHDL code is open source and can be used by designers with proper reference.
6

64 x 64 Bit Multiplier Using Pass Logic

Thankachan, Shibi 04 December 2006 (has links)
ABSTRACT Due to the rapid progress in the field of VLSI, improvements in speed, power and area are quite evident. Research and development in this field are motivated by growing markets of portable mobile devices such as personal multimedia players, cellular phones, digital camcorders and digital cameras. Among the recently popular logic families, pass transistor logic is promising for low power applications as compared to conventional static CMOS because of lower transistor count. This thesis proposes four novel designs for Booth encoder and selector logic using pass logic principles. These new designs are implemented and used to build a 64 x 64-bit multiplier. The proposed Booth encoder and selector logic are competitive with the existing and shows substantial reduction in transistor count. It also shows improvements in delay when compared to two of the three published works.
7

Design tradeoff analysis of floating-point adder in FPGAs

Malik, Ali 19 August 2005
Field Programmable Gate Arrays (FPGA) are increasingly being used to design high end computationally intense microprocessors capable of handling both fixed and floating-point mathematical operations. Addition is the most complex operation in a floating-point unit and offers major delay while taking significant area. Over the years, the VLSI community has developed many floating-point adder algorithms mainly aimed to reduce the overall latency. An efficient design of floating-point adder onto an FPGA offers major area and performance overheads. With the recent advancement in FPGA architecture and area density, latency has been the main focus of attention in order to improve performance. Our research was oriented towards studying and implementing standard, Leading One Predictor (LOP), and far and close data-path floating-point addition algorithms. Each algorithm has complex sub-operations which lead significantly to overall latency of the design. Each of the sub-operation is researched for different implementations and then synthesized onto a Xilinx Virtex2p FPGA device to be chosen for best performance. This thesis discusses in detail the best possible FPGA implementation for all the three algorithms and will act as an important design resource. The performance criterion is latency in all the cases. The algorithms are compared for overall latency, area, and levels of logic and analyzed specifically for Virtex2p architecture, one of the latest FPGA architectures provided by Xilinx. According to our results standard algorithm is the best implementation with respect to area but has overall large latency of 27.059 ns while occupying 541 slices. LOP algorithm improves latency by 6.5% on added expense of 38% area compared to standard algorithm. Far and close data-path implementation shows 19% improvement in latency on added expense of 88% in area compared to standard algorithm. The results clearly show that for area efficient design standard algorithm is the best choice but for designs where latency is the criteria of performance far and close data-path is the best alternative. The standard and LOP algorithms were pipelined into five stages and compared with the Xilinx Intellectual Property. The pipelined LOP gives 22% better clock speed on an added expense of 15% area when compared to Xilinx Intellectual Property and thus a better choice for higher throughput applications. Test benches were also developed to test these algorithms both in simulation and hardware. Our work is an important design resource for development of floating-point adder hardware on FPGAs. All sub components within the floating-point adder and known algorithms are researched and implemented to provide versatility and flexibility to designers as an alternative to intellectual property where they have no control over the design. The VHDL code is open source and can be used by designers with proper reference.
8

Design and Implementation of FlexRay Automotive Communication System Physical Layer and 32-bit High Speed Tree-Structured Carry Lookahead Adder

Juan, Chun-Ying 24 July 2008 (has links)
This thesis comprises two parts : the first one is the design and implementation of FlexRay automotive communication system physical layer; the second part is the design of a high speed pipelined tree-structured carry lookahead adder (CLA). The first part of this thesis is to introduce the physical layer specification of FlexRay automotive communication system. Then, it is realized in an SOC by a typical 0.18 um CMOS process. The second topic is to propose a novel CANT logic. By the CANT logic, a pipelined tree-structured carry lookahead adder is designed and implemented. The dynamic bulk biasing technique is utilized to increase the switching speed of inverting circuits such that the delays of the inverting and non-inverting circuit is very close. The proposed architecture can be easily expanded to long data words CLA. Post-layout simulations reveal that the 32-bit CLA using the proposed CANT logic can operate up to 7.2 GHz by using the UMC 90 nm process.
9

A high-speed reduced-size adder under left-to-right input arrival

高木, 直史, Takagi, Naofumi 01 1900 (has links)
No description available.
10

A Charge-Balancing Incremental Analog to Digital Converter for Instrumental Applications

Zrilić, D., Skendzić, D., Pajavić, S., Ghorishi, R., Fu, F., Kandus, G. 10 1900 (has links)
International Telemetering Conference Proceedings / October 17-20, 1988 / Riviera Hotel, Las Vegas, Nevada / A switched-capacitor technique for realization of one bit serial A/D converter is presented. A conversion accuracy that is higher than 15 bits can be expected from its integrated realization. Results of simulation are presented. It is shown that arithmetic operations on bit serial signals are possible. Using arithmetic operations on delta-modulated signals, it is possible to build inexpensive options necessary in instrumentation.

Page generated in 0.0414 seconds