• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 48
  • 13
  • 7
  • Tagged with
  • 68
  • 68
  • 38
  • 25
  • 21
  • 21
  • 21
  • 19
  • 13
  • 12
  • 12
  • 12
  • 10
  • 10
  • 10
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Energy optimization of Signal Processing on MPSoCs and its Application to Video Decoding / Optimisation énergétique de processus de traitement du signal et ses applications au décodage vidéo

Nogues, Erwan 02 June 2016 (has links)
Aujourd'hui, les appareils électroniques offrent de plus en plus de fonctionnalités (vidéo, audio, GPS, internet) et des connectivités variées (multi-systèmes de radio avec WiFi, Bluetooth, UMTS, HSPA, LTE-advanced ... ). La demande en puissance de ces appareils est donc grandissante pour la partie numérique et notamment le processeur de calcul. Pour répondre à ce besoin sans cesse croissant de nouvelles fonctionnalités et donc de puissance de calcul, les architectures des processeurs ont beaucoup évolué : processeurs multi-coeurs, processeurs graphiques (GPU) et autres accélérateurs matériels dédiés. Cependant, alors que de nouvelles architectures matérielles peinent à répondre aux exigences de performance, l'évolution de la technologie des batteries est quant à elle encore plus lente. En conséquence, l'autonomie des systèmes embarqués est aujourd'hui sous pression. Parmi les nouveaux services supportés par les terminaux mobiles, la vidéo prend une place prépondérante. En effet, des analyses récentes de tendance montrent qu'elle représentera 70 % du trafic internet mobile dès 2016. Accompagnant cette croissance, de nouvelles technologies émergent permettant de nouveaux services et applications. Parmi elles, HEVC (High Efficiency Video Coding) permet de doubler la compression de données tout en garantissant une qualité subjective équivalente à son prédécesseur, la norme H.264. Dans un circuit numérique, la consommation provient de deux éléments: la puissance statique et la puissance dynamique. La plupart des architectures matérielles récentes mettent en oeuvre des procédés permettant de contrôler la puissance du système. Le changement dynamique du couple tension/fréquence appelé Dynamic Voltage and Frequency Scaling (DVFS) agit principalement sur la puissance dynamique du circuit. Cette technique permet d'adapter la puissance du processeur (et donc sa consommation) à la charge réelle nécessaire pour une application. Pour contrôler la puissance statique, le Dynamic Power Management (DPM, ou modes de veille) consistant à arrêter les alimentations associées à des zones spécifiques de la puce. Dans cette thèse, nous présentons d'abord une modélisation de l'énergie consommée par le circuit intégrant les modes DVFS et DPM. Cette modélisation est généralisée au circuit multi-coeurs et intégrée à un outil de prototypage rapide. Ainsi le point de fonctionnement optimal d'un circuit, la fréquence de fonctionnement et le nombre de coeurs actifs, est identifié. Dans un second temps, l'application HEVC est intégrée à une architecture multi-coeurs avec une adaptation dynamique de la fréquence de développement. Nous montrons que cette application peut être implémentée efficacement sur des processeurs généralistes (GPP) tout en minimisant la puissance consommée. Enfin, et pour aller plus loin dans les gains en énergie, nous proposons une modification du décodeur HEVC qui permet à un décodeur de baisser encore plus sa consommation en fonction du budget énergétique disponible localement. / Consumer electronics offer today more and more features (video, audio, GPS, Internet) and connectivity means (multi-radio systems with WiFi, Bluetooth, UMTS, HSPA, LTE-advanced ... ). The power demand of these devices is growing for the digital part especially for the processing chip. To support this ever increasing computing demand, processor architectures have evolved with multicore processors, graphics processors (GPU) and ether dedicated hardware accelerators. However, the evolution of battery technology is itself slower. Therefore, the autonomy of embedded systems is now under a great pressure. Among the new functionalities supported by mobile devices, video services take a prominent place. lndeed, recent analyzes show that they will represent 70% of mobile Internet traffic by 2016. Accompanying this growth, new technologies are emerging for new services and applications. Among them HEVC (High Efficiency Video Coding) can double the data compression while maintaining a subjective quality equivalent to its predecessor, the H.264 standard. ln a digital circuit, the total power consumption is made of static power and dynamic power. Most of modern hardware architectures implement means to control the power consumption of the system. Dynamic Voltage and Frequency Scaling (DVFS) mainly reduces the dynamic power of the circuit. This technique aims to adapt the power of the processor (and therefore its consumption) to the actual load needed by the application. To control the static power, Dynamic Power Management (DPM or sleep modes) aims to stop the voltage supplies associated with specific areas of the chip. ln this thesis, we first present a model of the energy consumed by the circuit integrating DPM and DVFS modes. This model is generalized to multi-core integrated circuits and to a rapid prototyping tool. Thus, the optimal operating point of a circuit, i.e. the operating frequency and the number of active cores, is identified. Secondly, the HEVC application is integrated to a multicore architecture coupled with a sophisticated DVFS mechanism. We show that this application can be implemented efficiently on general purpose processors (GPP) while minimizing the power consumption. Finally, and to get further energy gain, we propose a modified HEVC decoder that is capable to tune its energy gains together with a decoding quality trade-off.
2

Consommation statique dans les circuits numériques en CMOS 32nm : analyse et méthodologie pour une estimation statistique au niveau porte / Leakage Power in 32nm CMOS digital circuits : Analysis and Methodology for Statistical Gate Level Estimation

Joshi, Smriti 15 March 2013 (has links)
La puissance de fuite est devenue une préoccupation majeure pour les concepteurs de circuits intégrés depuis le nœud technologique 65 nm. En outre, ces fuites sont largement impactées par la variabilité technologique qui augmente nœud après nœud. C'est pourquoi des approches statistiques, qui estiment analytiquement la distribution du courant de fuite d'un circuit, sont des techniques nouvelles et prometteuses pour les technologies avancées. Dans ce cadre, ce travail propose une méthodologie au niveau circuit, capable d’analyser la puissance de fuite, et compatible avec les contraintes de temps de conception et les flots numériques. Un premier résultat de cette étude est de déterminer les paramètres de processus physiques prédominants de la variation de la consommation de puissance statique pour un noeud de la technologie de 32 nm . Pour le travail préliminaire, nous avons utilisé un modèle de PSP 32nm afin de déterminer les paramètres physiques dominants de variation de fuite d' impact. Nous avons constaté que , à l'alimentation nominale , un processus paramètre soit la longueur de grille est le principal contributeur à la propagation de la variation de fuite et n'a plus qu'à être envisagée. Il est montré que, compte tenu seulement un ou deux paramètres peut être suffisant pour obtenir un résultat satisfaisant. Deuxièmement, l'impact des variations globales et locales sur la variabilité de fuite dans la technologie 32nm est analysé. Enfin, un nouveau portail niveau méthodologie statistique pour estimer la consommation d'énergie de fuite des circuits CMOS numériques complexes , en tenant compte des états d'entrée et les variations de processus est proposé en technologie 32nm . L' estimation des fuites statistique est basée sur une pré- caractérisation des cellules de bibliothèques tenant compte des corrélations entre les cellules fuites . Il stocke toutes les informations statistiques ( moyenne, variance pour chaque état de la cellule / entrée ) sous forme de tableau . Le temps de calcul des cellules statistique caractérisation de bibliothèque de fuite est compatible avec les flux existants. Suivant une formulation mathématique est proposé et inséré dans un flot de conception afin d'estimer la distribution de fuite de circuit . Cette méthodologie est validée sur des circuits de différents niveaux de complexité . La méthodologie proposée est simple, rapide et peut être facilement confondu avec le flux existant de conception CAD . La moyenne et la variance des cellules individuelles de fuite , qui sont ensuite combinées pour trouver le courant du circuit de fuite total se caractérisent d'abord. Pour une analyse détaillée , les corrélations entre les cellules et la longueur avec l'état des entrées sont également considérés . Puis , on introduit une formule pour calculer la fuite total du circuit en utilisant la matrice de corrélation , et la moyenne ( μ ) et la variance ( σ2 ) de chacune des cellules . Pour valider cette méthode, les comparaisons sont faites avec Monte Carlo et rapide Spice Simulator (XA) . La méthodologie complète a été validé sur les différents niveaux de circuits de complexité , les résultats présentés pour un plus grand complexe IP ( APIP) qui est constitué de cellules 11475 . Notre approche proposée plus rapide pour les grands IP ( 11K portes ) est près de 400 fois plus que simulateur spice rapide ( XA) . / Leakage power has become a top concern for IC designers in advanced technology nodes (65nm and below) because it has increased by 30-50% the total IC power consumption. In addition, the leakage is largely impacted by the process variations which are increasing node after node. That’s why statistical leakage estimation, which analytically estimates the leakage-current distribution of a circuit, is a new and promising technique for leakage estimation in the deep-sub micron era. The objective of this work is to propose a circuit-level methodology to analyze leakage power, compatible with design time constraints and digital flows. A first result of this work is the determination of the predominant physical process parameters for static power consumption variation for a 32 nm technology node. For the preliminary work we have used a 32nm PSP model in order to determine the dominant physical parameters that impact leakage variation. We have found that, at nominal power supply, one process parameter i.e. gate length is the main contributor to the leakage variation spread and has only to be considered. It is shown that considering only one or two parameters may be enough to get a satisfactory result. Secondly, the impact of global and local variations on leakage variability in 32nm technology is analyzed. Finally, a new gate level statistical methodology to estimate the leakage power consumption of CMOS complex digital circuits, taking into account input states and process variations is proposed in 32nm technology. The statistical leakage estimation is based on a pre-characterization of library cells considering correlations between cells leakages. It stores all statistical information (mean, variance for each cell/input state) in tabular form. Computation time of cells statistical leakage library characterization is compatible with existing flows. Next a mathematical formulation is proposed and inserted into a design flow to estimate circuit leakage distribution. This methodology is validated on circuits of different levels of complexity. The proposed methodology is simple, fast and can be easily merged with existing CAD design flow. The mean and variance of leakage individual cells, which are then combined to find the total leakage current of the circuit are characterized first. For a detailed analysis, the correlations between the cells and the length with the status of inputs are also considered. Then, a formula is introduced for calculating the total leakage from the circuit using the correlation matrix, and the mean (μ) and the variance (σ2) of each of the cells. To validate this methodology, comparisons are made with Monte Carlo and Fast Spice Simulator (XA). The complete methodology had been validated on different level of complexity circuits, results shown for a bigger complex IP (APIP) which consists of 11475 cells. Our proposed approach faster for large IP (11K gates) is nearly 400 times than fast spice simulator (XA).
3

Optimisation de dispositifs FDSOI pour la gestion de la consommation et de la vitesse : application aux mémoires et fonctions logiques / FDSOI devices optimization to power and speed management : application to memory and logic function

Noël, Jean-Philippe 14 December 2011 (has links)
Avec la percée des téléphones portables et des tablettes numériques intégrant des fonctions avancées de traitement de l'information, une croissance exponentielle du marché des systèmes sur puce (SoC pour System On Chip en anglais) est attendue jusqu'en 2016. Ces systèmes, conçus dans les dernières technologies nanométriques, nécessitent des vitesses de fonctionnement très élevées pour offrir des performances incroyables, tout en consommant remarquablement peu. Cependant, concevoir de tels systèmes à l'échelle nanométrique présente de nombreux enjeux en raison de l'accentuation d'effets parasites avec la miniaturisation des transistors MOS sur silicium massif, rendant les circuits plus sensibles aux phénomènes de fluctuations des procédés de fabrication et moins efficaces énergétiquement. La technologie planaire complètement désertée (FD pour Fully depleted en anglais) SOI, offrant un meilleur contrôle du canal du transistor et une faible variabilité de sa tension de seuil grâce à un film de silicium mince et non dopé, apparaît comme une solution technologique très bien adaptée pour répondre aux besoins de ces dispositifs nomades alliant hautes performances et basse consommation. Cependant pour que cette technologie soit viable, il est impératif qu'elle réponde aux besoins des plateformes de conception basse consommation. Un des défis majeurs de l'état de l'art de la technologie planaire FDSOI est de fournir les différentes tensions de seuils (VT) requises pour la gestion de la consommation et de la vitesse. Le travail de recherche de thèse présenté dans ce mémoire a contribué à la mise en place d'une plateforme de conception multi-VT en technologie planaire FDSOI sur oxyde enterré mince (UTB pour Ultra Thin Buried oxide en anglais) pour les nœuds technologiques sub-32 nm. Pour cela, les éléments clefs des plateformes de conception basse consommation en technologie planaire sur silicium massif ont été identifiés. A la suite de cette analyse, différentes architectures de transistors MOS multi-VT FDSOI ont été développées. L'analyse au niveau des circuits numériques et mémoires élémentaires a permis de mettre en avant deux solutions fiables, efficaces et de faible complexité technologique. Les performances des solutions apportées ont été évaluées sur un chemin critique extrait du cœur de processeur ARM Cortex A9 et sur une cellule SRAM 6T haute densité (0,120 µm²). Egalement, une cellule SRAM à quatre transistors est proposée, démontrant la flexibilité au niveau conception des solutions proposées. Ce travail de recherche a donné lieu à de nombreuses publications, communications et brevets. Aujourd'hui, la majorité des résultats obtenus ont été transférés chez STMicroelectronics, où l'étude de leur industrialisation est en cours. / Driven by the strong growth of smartphone and tablet devices, an exponential growth for the mobile SoC market is forecasted up to 2016. These systems, designed in the latest nanometre technology, require very high speeds to deliver tremendous performances, while consuming remarkably little. However, designing such systems at the nanometre scale introduces many challenges due to the emphasis of parasitic phenomenon effects driven by the scaling of bulk MOSFETs, making circuits more sensitive to the manufacturing process fluctuations and less energy efficient. Undoped thin-film planar fully depleted silicon-on-insulator (FDSOI) devices are being investigated as an alternative to bulk devices in 28nm node and beyond, thanks to its excellent short-channel electrostatic control, low leakage currents and immunity to random dopant fluctuation. This compelling technology appears to meet the needs of nomadic devices, combining high performance and low power consumption. However, to be useful, it is essential that this technology is compatible with low operating power design platforms. A major challenge for this technology is to provide various device threshold voltages (VT), trading off power consumption and speed. The research work presented in this thesis has contributed to the development of a multi-VT design platform in FDSOI planar technology on thin buried oxide (UTB) for the 28nm and below technology nodes. In this framework, the key elements of the low power design platform in bulk planar technology have been studied. Based on this analysis, different architectures of FDSOI multi-VT MOSFETs have been developed. The analysis on the layout of elementary circuits, such as standard cells and SRAM cells, has put forward two reliable, efficient and low technological complexity multi- strategies. Finally, the performances of these solutions have been evaluated on a critical path extracted from the ARM Cortex A9 processor and a high-density 6T SRAM cell (0.120µm²). Also, an SRAM cell with four transistors has been proposed, highlighting the design flexibility brought by these solutions. This thesis has resulted in many publications, communications and patents. Today, the majority of the results obtained have been transferred to STMicroelectronics, where the industrialization is in progress.
4

Système avancé de cryptographie pour l'internet des objets ultra-basse consommation / An innovative lightweight cryptography system for Internet-of-Things ULP applications

Bui, Duy-Hieu 17 January 2019 (has links)
L'Internet des objets (IoT : Internet-of-Things) a été favorisé par les progrès accélérés dans les technologies de communication, les technologies de calcul, les technologies de capteurs, l'intelligence artificielle, l'informatique en nuage et les technologies des semi-conducteurs. En générale, l'IoT utilise l'informatique en nuage pour traitant les données, l'infrastructure de communication (y compris l’Internet) et des nœuds de capteurs pour collecter des données, de les envoyer de l'infrastructure du réseau à l’Internet, et de recevoir des commandes pour réagir à l'environnement. Au cours de ses opérations, l'IoT peut collecter, transmettre et traiter des données secrètes ou privées, ce qui pose des problèmes de sécurité. La mise en œuvre des mécanismes de sécurité pour l'IoT est un défi, car les organisations de l’IoT incluent des millions de périphériques intégrés à plusieurs couches, chaque couche ayant des capacités de calcul et des exigences de sécurité différentes. En outre, les nœuds de capteurs dans l'IoT sont conçus pour être des périphériques limités par une batterie, avec un budget de puissance, des calculs et une empreinte mémoires limités pour réduire les coûts d’implémentation. L'implémentation de mécanismes de sécurité sur ces appareils rencontre même plus de défis. Ce travail est donc motivé pour se concentrer sur l’implémentation du cryptage des données afin de protéger les nœuds et les systèmes de capteurs IoT en tenant compte du coût matériel, du débit et de la consommation d’énergie. Pour commencer, un crypto-accélérateur de chiffrement de bloc ultra-basse consommation avec des paramètres configurables est proposé et implémenté dans la technologie FDSOI ST 28 nm dans une puce de test, qui est appelée SNACk, avec deux modules de cryptographie : AES et PRESENT. L’AES est un algorithme de cryptage de données largement utilisé pour l’Internet et utilisé actuellement pour les nouvelles propositions IoT, tandis que le PRESENT est un algorithme plus léger offrant un niveau de sécurité réduit mais nécessitant une zone matérielle beaucoup plus réduite et une consommation très bas. Le module AES est une architecture de chemin de données 32 bits contenant plusieurs stratégies d'optimisation prenant en charge plusieurs niveaux de sécurité, allant des clés 128 bits aux clés 256 bits. Le module PRESENT contient une architecture à base arrondie de 64 bits pour optimiser son débit. Les résultats mesurés pendant cette thèse indiquent que ce crypto-accélérateur peut fournir un débit moyen (environ 20 Mbits/s au 10 MHz) tout en consommant moins de 20 µW dans des conditions normales et une sous-pJ d’énergie par bit. Cependant, la limitation du crypto-accélérateur réside dans le fait que les données doivent être lues dans le crypto-accélérateur et réécrites en mémoire, ce qui augmente la consommation d'énergie. Après cela, afin de fournir un haut niveau de sécurité avec une flexibilité et une possibilité de configuration pour s’adapter aux nouvelles normes et pour atténuer les nouvelles attaques, ces travaux portent sur une approche novatrice de mise en œuvre de l’algorithme de cryptographie utilisant la nouvelle SRAM proposée en mémoire. Le calcul en mémoire SRAM peut fournir des solutions reconfigurables pour mettre en œuvre diverses primitives de sécurité en programmant les opérations de la mémoire. Le schéma proposé consiste à effectuer le chiffrement dans la mémoire en utilisant la technologie Calcul en Mémoire (In-Memory-Computing). Ce travail illustre deux mappages possibles de l'AES et du PRESENT à l'aide du calcul en mémoire. / The Internet of Things (IoT) has been fostered by accelerated advancements in communication technologies, computation technologies,sensor technologies, artificial intelligence, cloud computing, and semiconductor technologies. In general, IoT contains cloud computing to do data processing, communication infrastructure including the Internet, and sensor nodes which can collect data, send them through the network infrastructure to the Internet, and receive controls to react to the environment. During its operations, IoT may collect, transmit and process secret data, which raise security problems. Implementing security mechanisms for IoT is challenging because IoT organizations include millions of devices integrated at multiple layers, whereas each layer has different computation capabilities and security requirements. Furthermore, sensor nodes in IoT are intended to be battery-based constrained devices with limited power budget, limited computation, and limited memory footprint to reduce costs. Implementing security mechanisms on these devices even encounters more challenges. This work is therefore motivated to focus on implementing data encryption to protect IoT sensor nodes and systems with the consideration of hardware cost, throughput and power/energy consumption. To begin with, a ultra-low-power block cipher crypto-accelerator with configurable parameters is proposed and implemented in ST 28nm FDSOI technology in SNACk test chip with two cryptography modules: AES and PRESENT. AES is a widely used data encryption algorithm for the Internet and currently used for new IoT proposals, while PRESENT is a lightweight algorithm which comes up with reduced security level but requires with much smaller hardware area and lower consumption. The AES module is a 32-bit datapath architecture containing multiple optimization strategies supporting multiple security levels from 128-bit keys up to 256-bit keys. The PRESENT module contains a 64-bit round-based architecture to maximize its throughput. The measured results indicate that this crypto-accelerator can provide medium throughput (around 20Mbps at 10MHz) while consumes less than 20uW at normal condition and sub-pJ of energy per bit. However, the limitation of crypto-accelerator is that the data has to be read into the crypto-accelerator and write back to memory which increases the power consumption. After that, to provide a high level of security with flexibility and configurability to adapt to new standards and to mitigate to new attacks, this work looks into an innovative approach to implement the cryptography algorithm which uses the new proposed In-Memory-Computing SRAM. In-Memory Computing SRAM can provide reconfigurable solutions to implement various security primitives by programming the memory's operations. The proposed scheme is to carry out the encryption in the memory using the In-Memory-Computing technology. This work demonstrates two possible mapping of AES and PRESENT using In-Memory Computing.
5

Design of an ultra low voltage analog front end for an electroencephalography system

Bautista-Delgado, Alfredo Farid 18 June 2009 (has links) (PDF)
Ce travail de thèse présente la conception et le développement d' un circuit intégré frontal analogique (AFE) pour un système d' électroencéphalographie portable. L' AFE est constitué d'un un amplificateur ultra-basse tension et d'un Convertisseur Analogique Numérique (ADC) Sigma Delta en Temps Continu (CT). Ce système AFE a été conçu dans une technologie CMOS 0,35 mm. Ce circuit de très basse consommation est alimenté avec une tension de seulement 0,5V.<br /><br />Afin de permettre un véritable fonctionnement en très basse tension, tous les transistors fonctionnent dans la région de faible inversion. Le pré-amplificateur se compose d'un étage d'entrée basé sur une architecture de type cascode replié (OTA-FC) et un d'un étage de sortie basé sur un amplificateur de type “Current Source”.<br /><br />Pour le convertisseur analogique numérique, une architecture de type Sigma-Delta, composée d'un modulateur à temps continu (CT-Sigma Delta), a été choisi afin d'avoir une consommation de puissance très faible. Le filtre de décimation du convertisseur est basé sur une architecture de filtre à réponse impulsionnelle finie (FIR). Le Modulateur est alimenté avec une tension de seulement 0,5V alors que le filtre numérique nécessite une tension de 1V.<br /><br />Les résultats de test montrent que l'OTA a un gain de boucle ouverte de 38,8dB pour le premier étage et de 18,6dB pour l'étage de sortie. Cet OTA a une largeurs de bande pour le premier étage et le second étage de 10,23KHz et 6,45KHz, respectivement. Les autres caractéristiques obtenues pour l'OTA sont: bruit de sortie de 1,4mVrms@100Hz et consommation de 1,89mW. L' ADC quant à affiche les caractéristiques suivantes : un SNR de 94,2dB, un ENOB de 15,35bits, une INL de +0,34/-2,3 LSB, et une DNL +0,783/-0,62LSB avec aucun code manquant. Le Modulateur a une consommation de puissance de 7mW. L' AFE proposé proposé dans ce travail possède des caractéristiques qui le place parmi les plus performants comparé aux autres réalisation décrites dans la littérature. Les caractéristiques obtenues pour le circuit permettent d'envisager sont utilisation pour des applications biomédicales de très basse consommation telles que les dispositifs portatifs d'électro-encéphalographie (EEG)<br /><br />En plus du modulateur de CT-Σ∆ développé en technologies CMOS 0,35um, un autre modulateur a été conçu utilisant des technologies CMOS 0,13µm, basées sur le temps discret. La simulation affiche un SNR de 92dB et un ENOB de 14.99dB pour une fréquence de sur-échantillonnage (OSR) de 150.
6

Systeme a microprocesseur asynchrone basse consommation

Rios, D. 18 September 2008 (has links) (PDF)
Cette thèse présente une contribution à la conception de circuits asynchrones Quasi Insensibles aux Délais (QDI) faible consommation. Une brève étude des méthodes d'estimation de l'énergie dans les circuits CMOS est présentée. Dans le deuxième chapitre, la méthodologie proposée sera présentée. Cette méthodologie utilise trois outils qui permettent la synthèse, l'optimisation et l'estimation d'énergie des circuits asynchrones QDI. La conception de ces circuits se fait à partir d'un langage de haut niveau (CHP). Le troisième chapitre expose une étude sur les choix d'architectures lors de la conception des circuits asynchrones QDI en utilisant la méthodologie proposée. Une comparaison avec les équivalents synchrones des architectures étudiées sera aussi montrée. Finalement, le quatrième chapitre présente une technique pour réduire la consommation d'un circuit en régulant la tension d'alimentation avec un asservissement à boucle fermée pour contrôler la tension d'alimentation.
7

Modélisation de couches de grains luminophores : évolution de la morphologie durant le recuit de synthèse et efficacité optique

Pannier, Nayely 12 December 2011 (has links) (PDF)
La partie centrale des lampes à basse consommation est constituée d'un tube en verre rempli de plasma de mercure et recouvert d'une couche de grains de luminophores. Ces grains de quelques microns absorbent la radiation UV et émettent de la lumière visible. Dans cette thèse, deux problèmes liés à ces couches sont étudiés. Dans une première partie, un modèle de champ de phase est développé pour décrire le changement de la morphologie des grains lors du recuit de synthèse en présence d'un fondant. A l'aide de ce modèle, nous avons mis en évidence le rôle des tensions de surface et des mécanismes de transport de matière dans le liquide. Dans une seconde partie, nous utilisons la méthode du tracé de rayons pour modéliser les propriétés optiques d'une couche de grains de luminophores. Cette dernière a deux buts qui peuvent sembler contradictoire : absorber le maximum de rayons UV et maximiser l'extraction de lumière visible. Nous avons mis en évidence l'existence d'un optimum d'efficacité d'extraction, ce qui suggère que les pertes de lumière visible dans la lampe peuvent être atténuées en utilisant des couches peu compactes.
8

Architectures multi-bandes en mode impulsionnel et circuits pour des applications nomades très haut débit autour de 60GHz

Abdaoui, Rahma 10 December 2012 (has links) (PDF)
Avec la croissance actuelle du marché des applications de transfert de données multimédia à très haut débit, les bandes de fréquences autour de 60 GHz sont une nouvelle alternative promettant des performances intéressantes en terme de débits mais soulèvent des défis techniques et technologiques au niveau des architectures et circuits. C'est dans ce cadre que s'inscrit cette thèse, qui propose une approche multi bande impulsionnelle MBOOK avec un récepteur à détection d'énergie, et qui analyse plus spécifiquement les verrous au niveau de l'émetteur. L'étude du canal de propagation à 60 GHz, basée sur les modèles de canaux du standard IEEE 802.15.3c, a permis de démontrer la potentialité de cette architecture et permet d'atteindre des débits de 2 Gbps à 2metres dans un environnement de type résidentiel. Le dimensionnement de l'architecture ainsi que des performances des principaux blocs ont conduit à plusieurs possibilités pour l'architecture de l'émetteur MBOOK à 60 GHz. Les critères ont été d'assurer un compromis performances, consommation. Une étude approfondie sur l'étude des imperfections de certains blocs critiques et l'impact sur l'impulsion transmise, et donc sur les performances du système ont été établies. Le banc de filtres, nécessaire à l'émission et à la réception, représente l'un des verrous, et nous proposons une solution de filtrage à base de lignes couplées. L'étude des solutions de génération d'impulsions, des étages de commutation, et des étages d'amplification de l'émetteur sont détaillées et discutées dans les deux derniers chapitres
9

Conception et Implémentation d'un Stimulateur Multi-Canal pour les Dispositifs Microfluidiques

Gomez quinones, Jose 10 October 2011 (has links) (PDF)
This dissertation presents the design and implementation of a 16-channel sinusoidal generator to stimulate microfluidic devices that use electrokinetic forces to manipulate particles. The generator has both, independent frequency and independent amplitude control for each channel. The stimulation system is based upon a CMOS application specific (ASIC) device developed using 0.35¦Ìm technology. Several generator techniques were compared based on frequency range, total harmonic distortion (THD), and on-chip area. The best alternative for the microfluidic applications is based in a triangle-to-sine converter and presents a frequency range of 8kHz to 21MHz, an output voltage range of 0V to 3.1VPP, and a maximum THD of 5.11%. The fabricated device, has a foot- print of 1560¦Ìm¡Á2030¦Ìm. The amplitude of the outputs is extended using an interface card, achieving voltages of 0V to 15VPP. The generator functionality was tested by performing an experimental set-up with particle trapping. The set-up consisted of a micromachined channel with embedded electrodes configured as two electrical ports located at different positions along the channel. By choosing specific amplitude and frequency values from the generator, different particles suspended in a fluid were simultaneously trapped at different ports. The multichannel stimulator presented here can be used in many microfluidic experiments and devices where particle trapping, separation and characterization is desired.
10

Etude de dispositifs à film mince pour les technologies sub-22nm basse consommation

Huguenin, Jean-luc 03 November 2011 (has links) (PDF)
Depuis plus d'un demi-siècle, le monde de la microélectronique est rythmé par une course à la miniaturisation de son élément central, le transistor MOS, dans le but d'améliorer la densité d'intégration, les performances et le coût des circuits électroniques intégrés. Depuis plusieurs générations technologiques maintenant, la simple réduction des dimensions du transistor n'est plus suffisante et de nouveaux modules technologiques (utilisation de la contrainte, empilement de grille high-k/métal...) ont du être mis en place. Cependant, le transistor MOS conventionnel, même optimisé, ne suffira bientôt plus à répondre aux attentes toujours plus élevées des nouvelles technologies. De nouvelles architectures doivent alors être envisagées pour épauler puis, à terme, remplacer la technologie BULK. Dans ce contexte, cette thèse porte sur l'étude, la fabrication et la caractérisation électrique des architectures à film mince que sont le SOI localisé (ou LSOI) et le double grille planaire à grille enrobante (ou GAA). Les résultats obtenus mettent ainsi en évidence l'intérêt de ces dispositifs qui permettent une réduction du courant de fuite (et donc de la consommation), un excellent contrôle des effets électrostatiques et fonctionnent sans dopage canal (faible variabilité) tout en proposant de très bonnes performances statiques. L'impact d'une orientation de substrat (110) sur les propriétés de transport dans les transistors LSOI est également étudié. Ce travail de thèse garde comme ligne de mire la réalisation d'une plateforme basse consommation complète, impliquant une éventuelle intégration hybride avec des dispositifs BULK et la possibilité d'offrir plusieurs niveaux de tension de seuil, le tout sur une même puce.

Page generated in 0.5352 seconds