• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 5
  • 3
  • 3
  • 1
  • 1
  • 1
  • 1
  • Tagged with
  • 16
  • 8
  • 5
  • 4
  • 4
  • 4
  • 3
  • 3
  • 3
  • 3
  • 3
  • 2
  • 2
  • 2
  • 2
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Implementering av styrgränssnitt mellan leksaksstridsvagn och digital signalprocessor / Implementation of a Control Interface Between a Toy Tank and a Digital Signal Processor

Östlund, Anders, Suneson, Tor January 2007 (has links)
Denna rapport omfattar ett 15 poängs (22,5 högskolepoäng) examensarbete vid Karlstads universitet. Arbetet har utförts på plats hos BAE Systems Bofors i Karlskoga. Företaget ville kunna styra en radiostyrd leksaksstridsvagn med en laserpekare. En kamera ansluten till en digital signalprocessor (DSP) skulle kunna detektera var en laserpunkt befinner sig och styra stridsvagnen mot den. Ett styrgränssnitt mellan DSP:n och leksaksstridsvagnen konstruerades och byggdes med hjälp av en programmerbar logisk krets. Leksaksstridsvagnens interna signalsystem analyserades. En manchesterkodad signal i form av ett 32-bitars seriellt kodord hittades, vilket ursprungligen kom från radiostyrningen. Ett styrgränssnitt konstruerades kring en CPLD (Complex Programmable Logic Device) vilken programmerades med VHDL (Very high speed integrated Hardware Description Language) som återskapar den Manchesterkodade styrsignalen. Gränssnittet ansluter till DSP:n som kontrollerar stridsvagnens styrning och övriga funktioner till fullo. Kommunikationen mellan styrgränssnittet och DSP:n sker via ett parallellgränssnitt som är 16-bitar brett. 13 bitar är datasignaler och övriga tre är ”styrbitar” som konfigurerar gränssnittet. En applikation integrerades i projektet för att demonstrera styrgränssnittets funktion. DSP:n tolkar var en laserpunkt befinner sig inom ett kameraområde och skickar motsvarande styrsignaler till leksaksstridsvagnen. / This report consists of a 15 points (22.5 ECTS) Exam Degree project at Karlstad University. The work was done on location at BAE Systems Bofors AB in Karlskoga. The company wanted to control a radio controlled toy tank from a digital signal processor (DSP). A camera connected to the DSP locates the laser point and steers the toy tank towards it. An interface using a programmable logic device was constructed that connects the DSP to the toy tank. The internal signals in the toy tank was analyzed and a Manchester coded signal in form of a 32-bit serial code word was detected. The code word originated from the radio controller. The control interface was built around a CPLD (Complex Programmable Logic Device) which was programmed in VHDL (Very high speed integrated Hardware Description Language). The control interface recreates the signal controlling the toy tank. The interface connects the toy tank to the DSP which controls the toy tank and it’s functions to the full extent. Communication between the interface and the DSP is done via a 16 bit parallel connection. 13 of the bits are data bits and the remaining 3 are control bits that are used to set up the interface. An application was integrated in the project where the DSP is detecting a laser point. Corresponding signals to the laser points position where sent to the control interface to demonstrate the function of the interface.
2

Implementering av styrgränssnitt mellan leksaksstridsvagn och digital signalprocessor / Implementation of a Control Interface Between a Toy Tank and a Digital Signal Processor

Östlund, Anders, Suneson, Tor January 2007 (has links)
<p>Denna rapport omfattar ett 15 poängs (22,5 högskolepoäng) examensarbete vid Karlstads universitet. Arbetet har utförts på plats hos BAE Systems Bofors i Karlskoga. Företaget ville kunna styra en radiostyrd leksaksstridsvagn med en laserpekare. En kamera ansluten till en digital signalprocessor (DSP) skulle kunna detektera var en laserpunkt befinner sig och styra stridsvagnen mot den.</p><p>Ett styrgränssnitt mellan DSP:n och leksaksstridsvagnen konstruerades och byggdes med hjälp av en programmerbar logisk krets. Leksaksstridsvagnens interna signalsystem analyserades. En manchesterkodad signal i form av ett 32-bitars seriellt kodord hittades, vilket ursprungligen kom från radiostyrningen. Ett styrgränssnitt konstruerades kring en CPLD (Complex Programmable Logic Device) vilken programmerades med VHDL (Very high speed integrated Hardware Description Language) som återskapar den Manchesterkodade styrsignalen.</p><p>Gränssnittet ansluter till DSP:n som kontrollerar stridsvagnens styrning och övriga funktioner till fullo. Kommunikationen mellan styrgränssnittet och DSP:n sker via ett parallellgränssnitt som är 16-bitar brett. 13 bitar är datasignaler och övriga tre är ”styrbitar” som konfigurerar gränssnittet. En applikation integrerades i projektet för att demonstrera styrgränssnittets funktion. DSP:n tolkar var en laserpunkt befinner sig inom ett kameraområde och skickar motsvarande styrsignaler till leksaksstridsvagnen.</p> / <p>This report consists of a 15 points (22.5 ECTS) Exam Degree project at Karlstad University. The work was done on location at BAE Systems Bofors AB in Karlskoga. The company wanted to control a radio controlled toy tank from a digital signal processor (DSP). A camera connected to the DSP locates the laser point and steers the toy tank towards it.</p><p>An interface using a programmable logic device was constructed that connects the DSP to the toy tank. The internal signals in the toy tank was analyzed and a Manchester coded signal in form of a 32-bit serial code word was detected. The code word originated from the radio controller. The control interface was built around a CPLD (Complex Programmable Logic Device) which was programmed in VHDL (Very high speed integrated Hardware Description Language). The control interface recreates the signal controlling the toy tank.</p><p>The interface connects the toy tank to the DSP which controls the toy tank and it’s functions to the full extent. Communication between the interface and the DSP is done via a 16 bit parallel connection. 13 of the bits are data bits and the remaining 3 are control bits that are used to set up the interface. An application was integrated in the project where the DSP is detecting a laser point. Corresponding signals to the laser points position where sent to the control interface to demonstrate the function of the interface.</p>
3

Driver Circuit for an Ultrasonic Motor

Ocklind, Henrik January 2013 (has links)
To make a camera more user friendly or let it operate without an user the camera objective needs to be able to put thecamera lens in focus. This functionality requires a motor of some sort, due to its many benefits the ultrasonic motor is apreferred choice. The motor requires a driving circuit to produce the appropriate signals and this is what this thesis is about.Themain difficulty that needs to be considered is the fact that the ultrasonic motor is highly non-linear.This paper will give a brief walk through of how the ultrasonic motor works,its pros and cons and how to control it. How thedriving circuit is designed and what role the various components fills. The regulator is implemented in C-code and runs on amicro processor while the actual signal generation is done on a CPLD. The report ends with a few suggestions of how toimprove the system should the presented solution not perform at a satisfactory level.
4

Laboratorní přípravek pro vývoj aplikací obvodů CPLD firmy Altera / Laboratory kit for design work with Altera CPLD devices

Gajdošík, Petr January 2012 (has links)
In this thesis I aim at a design of the laboratory kit and study ways how to programme CPLD devices made by Altera company. The product is used for development and demonstration of applications in CPLD devices made by Altera company. The kit is designed for Altera programming cables and Presto (made by ASIX). Input signals are implemented by a set of switches and buttons on the board. Output states are displayed by LED diods, possibly connected to multiplex the display. The user can connect to external devices via external inputs. Thesis is also aimed at the design PCB of the laboratory kit, subsequent production, recovery and verification of compatibility ALTERA and PRESTO programmers. End of the thesis aims on working with the Quartus II design environment. In particular, it is a guide to working with templates and simulation of VHDL designs.
5

Development of a Generic PDA Based Control Mechanism for in-house Fabricated Miniature Sensors

Kedia, Sunny 19 November 2004 (has links)
A novel method of controlling miniature sensors using Handspring Visor Prism PDA has been implemented. A generic motherboard was developed to map the data and address lines from the Visor onto a Complex Programmable Logic Device (CPLD) to provide basic electrical signals to the sensor board. The sensor board housed the sensor and contained application specific circuitry. The PDA, the motherboard, and the sensor board completed the control mechanism for the sensor. Miniature sensors and PDA based control mechanism scaled down the size of the complete system making the unit portable. This unit facilitated a faster analysis of data on field. Two applications were targeted: Flurometer (bio-sensor) and Corner Cube Retroreflector (CCR-optical sensor for communication). A sensor board was developed to control a thermally regulated fluorometer undergoing the Nuclei Acid Synthesis Based Amplification (NASBA) process, which detected the fluorescence from the solution containing target RNA. NASBA runs were conducted using solution containing K. brevis- Red tide organisms to validate the interface of the PDA with a fluorometer. Real time fluorescence plot over time was obtained on the PDA indicating presence/absence of the target RNA; thus, it successfully interfaced the PDA with the fluorometer. Additionally, a sensor board was developed to control the electrostatic actuation mechanism of the MEMS based CCR. Efforts were made to fabricate the vertical mirrors of CCR using wet and dry fabrication techniques.
6

Videokort för VME-Bussen / Videocard for the VMEbus

Kingbäck, Andreas January 2003 (has links)
<p>Denna rapport behandlar konstruktion och tillverkning av ett videokort till Versa Module Eurocard (VME) bussen. Kortet skall användas vid laborationer i kurser där mikrodatorkort VM42 från PEP Modular Computer används. Grafikkortet klarar en upplösning på minst 640x480 punkter med 24-bitars färg. Hela konstruktionen är uppbyggd kring Lattice<sup>®</sup> MACH4A3-384/160. Designen av MACH kretsen är uppbyggd i Very High speed integrated hardware Description Language (VHDL) med hjälp av programmen ActiveHDL, Synplify Pro<sup>®</sup>, ispDesignExpert samt LatticePRO. </p> / <p>This report is about the construction and fabrication of a video card for the Versa Module Euro card (VME) bus. The card will be used as a platform in laborations in courses including the VM42 microcontroller from PEP Modular Computer. The card is able to display a resolution of at least 640x480 pixels with 24bit color. The heart in the construction is the Lattice<sup>®</sup> MACH4A3-384/160 Complex Programmable Logic Device (CPLD). All programming is done in Very High speed integrated hardware Description Language (VHDL) with the help of ActiveHDL, Synplify Pro<sup>®</sup>, ispDesignExpert and LatticePRO software tools.</p>
7

Flash Lighting with Fluorescent Lamp

Hsieh, Horng 21 July 2005 (has links)
A flash lighting circuit with the fluorescent lamp is designed to produce lighting flicker by means of controlling the operating frequency and the duty-ratio of the lamp voltage and current. The intensity of the flash lighting is adjusted by the DC-link voltage of the electronic ballast circuit. The circuit structure is mainly composed of the class-D series-resonant inverter, the full-bridge rectifier, the LC filter and the commutation circuit. A control circuit with complex programmable logic device (CPLD) is used to accomplish the regulation of the operating frequency and the duty-ratio, which should be carefully controlled to ensure a stable lighting arc. In the meantime, a flash lighting detected circuit is designed to transform the flash lighting into a voltage signal. Experiment tests are conducted to human visual perception to demonstrate the applicability of the flash lighting circuit.
8

Komunikační souprava pro optické bezkabelové spoje / Communication assembly for optical cableless linking

Kapuš, Martin January 2008 (has links)
This Graduate Thesis is about communication module for optical wireless network. This device read data from RS232 port and two analog inputs and sends it through internet to a remote Computer. Furthermore allows voice communication between local user on module and user on remote computer. Device allows communication with standard headphones and microphone, or with Bluetooth handsfree. Basic part is microprocessor MCF5223 and Bluetooth Ezurio BISM II module. Control program has been written in C language. Printed circuit is created by EAGLE program. This device is managed via web interface and settings have been stored into EEPROM memory. Work contains description of separately parts and blocks, source code of program, description of source code and printed circuit designs.
9

Generátory měřicích signálů sin220 T a sin22T / Generators of the Measuring Signals sin220T and Sin22T

Mazánek, David January 2008 (has links)
My diploma thesis deals about the one possibility of distortion measuring in TV devices and distributions. The main objectives are analysis of special measuring signals sin220T and sin22T, means of precision assesments and design possibilities. Next point is proposal and after that draft of generator circuit. It will consist analog a digital section of processing measuring signals. Pulse sin22T is generated by digital decoder CPLD, trigged by “hardware” quartz oscilator 60MHz. Low-frequency component of signal sin220T have identical form like sin22T, diference is only in clocking by 10MHz assured by “software”frequency divider. High-frequency component of pulse is gained like product of AM modulation, that the modulation signal is low-frequency sin220T and harmonic carrier with frequency 4,433619MHz. Ocilator with automatic gain control (AGC) and quartz feed-back generates absolute accuracy oscillation (precision 10-6). Finaly this parts are summing in operation amplifier. Detailed analysis, draft and layout of PCB (Printed Circuid Board) is reffered – to diploma thesis.
10

Utvärdering av JTAG Boundary scan somtestmetod vid temperaturchocker / Evaluation of JTAG Boundary scan as testmethod for temperature shocks

Bergman, Robin, Nilsson, Johan January 2020 (has links)
Rapporten beskriver ett examensarbete som har genomförts hos Scania R&amp;D. Målet har varit att testa om det är möjligt att använda JTAG för kontroll av Ball Grid Array på komponenter som sitter på kretskort. Vanliga mätmetoder kan inte användas med mindre än att man separerar komponenten från kretskortet. Det som framkommer är att JTAG kan användas för att kontrollera Ball Grid Array samtidigt som kretskortet finns i ett så kallat temperaturchockskåp (som används för att testa hur utrustning och komponenter reagerar vid snabba temperaturändringar). Svårigheten består att den flatkabel som för över signaler mellan dator och kretskort är så lång att arrangemanget blir störningskänsligt. Detta kan lösas med en Extender som förstärker signalen så att kabeln kan vara längre än 0,5 meter. Resultat visar att JTAG kan användas med kretskort som befinner sig i temperaturchockskåpet. Målet har även varit att utveckla en kontrollmetod för att kontrollera att det kretskort som levereras till Scania uppfyller kraven i ISO 26262. För att kunna kontrollera om en leverantör uppfyller ISO 26262 behövs dokumentation som verifierar att produkten utvecklats i enlighet med ISO 26262. I ISO standarden finns det tolv delar som beskriver kraven på produkten. I rapporten har fokus lagts på delen som handlar om hårdvara. Ingen kontrollmetod har kunnat utvecklats då ISO standarden var mer omfattade än väntat.

Page generated in 0.0176 seconds