• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 9
  • 2
  • 2
  • Tagged with
  • 13
  • 9
  • 8
  • 7
  • 7
  • 7
  • 7
  • 6
  • 5
  • 5
  • 5
  • 5
  • 5
  • 4
  • 4
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Couches minces organo-siliciées déposées par PECVD pour la fonctionnalisation de capteurs de gaz / PECVD organosilicate thin films for gas sensor functionalization

El Sabahy, Julien 17 December 2015 (has links)
La détection de gaz est un enjeu de plus en plus important, aussi bien dans le domaine de la surveillance de la qualité de l’air -intérieur et extérieur- que dans le suivi de procédés. Cet enjeu est d’autant plus critique dans le cas des composés organiques volatiles (COVs) que leur impact sur la santé publique est avéré. Détecter et quantifier leur présence devient une problématique majeure et différentes solutions existent. L’une d’elles, basée sur le couplage d’une nano-poutre résonnante et d’une micro colonne de chromatographie, s’avère être une solution prometteuse. Ces deux dispositifs alliant sélectivité et grande sensibilité nécessitent cependant une fonctionnalisation à l’aide d’une couche sensible. Ces travaux se sont focalisés sur le développement de matériaux sensibles de la famille des SiOCH déposés en couche mince par dépôt chimique en phase vapeur assisté par plasma (PECVD). L’étude de la réponse sous gaz des différents matériaux synthétisés au cours de cette thèse a été réalisée à l’aide de microbalances à cristal de quartz (QCM). Les mesures obtenues ont ensuite été corrélées à un modèle simple permettant de proposer une interprétation de l’interaction entre les SiOCH et le gaz d’intérêt, à l’équilibre mais aussi en régime dépendant du temps. La première partie de l’étude montre l’impact de la composition chimique de ces matériaux sur leur affinité envers un gaz représentatif des COVs aromatiques : le toluène. En s’appuyant sur des caractérisations physico-chimiques, le rôle de différentes liaisons chimiques ainsi que celui de l’hydrophobie des couches minces sur l’interaction avec le gaz d’intérêt a été analysé. Ces travaux montrent qu’un compromis entre composition chimique et hydrophobie doit être trouvé afin de préserver affinité et temps de réponse des SiOCH. L’étude de l’influence de la porosité sur la sensibilité a ensuite été abordée dans un second temps. Pour cela, des procédés originaux de réalisation de couches minces poreuses ont été développés afin de proposer de nouveaux matériaux poreux et d’accroître leur sensibilité vis-à-vis du toluène. Les limites de l’approche soustractive généralement utilisée pour ce type de matériau (i.e. l’approche porogène) ont pu ainsi être dépassées en termes de porosité et de tailles de pores. Concernant la détection de gaz, il s’avère difficile de décorréler l’impact de la chimie de celui de la porosité. Quoi qu’il en soit, l’augmentation de la porosité ouverte n’apparait pas comme le seul paramètre pertinent pour accroître la sensibilité de ces matériaux aux faibles concentrations. / Gas detection is a growing field, both for indoor and outdoor air quality monitoring and for process monitoring. It is indeed particularly critical in the case of volatile organic compounds (VOC) whose impact on public health is proven. Detecting and quantifying their presence becomes a major problem and various solutions are available. One of them, based on the coupling of a resonant beam and a chromatography micro column, appears to be a promising solution. Those two devices combine selectivity and high sensitivity; however, they require functionalization with a sensitive layer. This work focused on SiOCH thin films deposited by PECVD. The gas interaction of the sensitive layers deposited during this work was studied using quartz crystal microbalances (QCM). The obtained measurements were then correlated to a simple model, providing an interpretation of the interaction – for steady-state but also kinetic regime - between the SiOCH and the gas of interest. The first part of the study shows the impact of the chemical composition of those materials on their affinity for toluene, representative for aromatic VOCs. Relying on physico-chemical characterization techniques, the role of various chemical bonds on the solid/gas interaction was investigated. This work shows that a compromise between chemical composition and hydrophobicity has to be reached to preserve SiOCH affinity and temporal response. The influence of porosity was then explored in a second step to further increase the sensitivity of those materials. Original deposition processes were developed in order to propose new porous materials with higher toluene affinity. The limits of the subtractive approach generally used for these PECVD materials (i.e. the porogen approach) were then overcome in terms of porosity and pore size. Concerning gas detection, it is difficult to decorrelate between the impact of chemistry and porosity. Whatever, increasing porosity does not appear to be the only relevant parameter in order to increase these materials affinity at low concentrations.
2

Control of Pore Structure in Plasma-Polymerized SiOCH Films for Gas Separation / Contrôle de la porosité dans les films SiOCH de polymère-plasma pour la séparation gazeuse

Lo, Chia-Hao 19 July 2010 (has links)
La synthèse d'une membrane composite formée d'une couche fine de surface de structure très réticulée et permsélective aux gaz déposée sur un substrat poreux a été étudiée comme solution pour accroître la perméabilité aux gaz tout en conservant une sélectivité importante. Une couche mince de polymère-plasma SiOCH a été retenue comme membrane de séparation gazeuse car elle possède une structure dont l'ultramicroporisté peut être contrôlée en ajustant les paramètres du procédé plasma comme la puissance, le flux de monomère et la pression de travail. Néanmoins, dans la membrane SiOCH, la taille moyenne des pores et leur distribution sont difficiles à appréhender par des techniques de caractérisation classiques, notamment proche de la surface car elle est très fine. Ce mémoire de thèse concerne le contrôle de la structure poreuse dans une couche mince de polymère-plasma SiOCH déposée sur un substrat polymère en utilisant un précurseur organosilicié. La spectroscopie d'annihilation de positron couplée à un faisceau de positron lent a été utilisée pour identifier la microstructure de couches minces SiOCH avec la profondeur. Ceci a nécessité tout d'abord l'acquisition d'une bonne connaissance de la caractérisation de l'annihilation de positron de matériaux polymères et céramiques. Des couches minces de SiOCH conformes ou superhydrophobes (SHP) ont été obtenues à deux fréquences différentes, respectivement à 13,56 MHz ou 40 kHz. Pour une couche conforme, le type de substrat, la structure chimique du précurseur et la puissance RF sont les paramètres majeurs qui influencent la structure des pores. Quand les films de SiOCH sont composées de deux couches (couche uniforme de surface et couche de transition) déposées sur un substrat poreux, l'analyse PAS met en évidence une couche de transition large et l'ensemble possède une perméabilité aux gaz élevée grâce à la porosité de surface du support. Lors de la préparation des couches minces SHP, quand la pression totale dépasse 0,6 mbar, la nucléation en phase gaz apparaît ce qui augmente la rugosité de la surface. Ceci induit des angles de contact à l'eau supérieurs à 160° et une hystérésis d'angles de contact avancée-reculée de seulement 2°. La préservation des chaînes carbonées et la microstructure sont les facteurs déterminant pour accroître l'hydrophobicité des couches minces de SiOCH. / In gas separation, the fabrication of composite membranes consisting of a permselective thin top layer with high cross-linking structures and a porous substrate has been regarded as a solution for improving gas permeability and simultaneously retaining high selectivity. A plasma-polymerized SiOCH film has been known as an appropriate gas separation membrane because it possesses a dense structure, the crosslinking degree of which could be controlled by adjusting plasma parameters such as plasma power, monomer flow rate, and system pressure. However, the pore size and distribution in SiOCH films, especially in the region of depth profile, are difficult to measure by conventional techniques because of they are very thin.This thesis is concerned with the control of pore structure in a plasma-polymerized SiOCH film on a polymeric substrate by using an organosilicon source. The positron annihilation spectroscopy (PAS) coupled to the slow positron beam technique was used to identify the microstructure of SiOCH films as a function of depth. This step required to have a good understanding of the positron annihilation characteristics of different materials such as organic, inorganic, and hybrid materials. Depending on plasma frequency adjustments, SiOCH films with a flat and a superhydrophobic (SHP) surface were fabricated at 13.56 MHz and 40 kHz, respectively. For a flat SiOCH film, substrate type, chemical structure of precursor, and RF power were the major variables that influenced the pore structure. When SiOCH films composed of two layers (bulk and transitions layers) were deposited on porous substrates, they displayed a long transition layer based on the PAS analysis and possessed a high gas permeability due to the surface porosity of the substrate. When the precursor used possessed a cyclic ring structure, an opportunity of a break-up of the cyclic ring would increase with increasing RF power and then induce formation of new big pores. For the preparation of SHP films, when the total pressure was higher than 0.6 mbar, the gas nucleation reaction was enhanced to induce roughness on SiOCH films, and it would show a high WCA of over 160o and a low WCAH of only 2 degrees. Both the hydrocarbon preservation and microstructure were the main factors in improving the surface superhydrophobicity of SiOCH films.
3

Analyse électrique de diélectriques SiOCH poreux pour évaluer la fiabilité des interconnexions avancées / Electrical analysis of porous SiOCH dielectrics to evaluate reliability of advanced interconnects

Verriere, Virginie 18 February 2011 (has links)
Avec la miniaturisation des circuits intégrés, le délai de transmission dû aux interconnexions a fortement augmenté. Pour limiter cet effet parasite, le SiO2 intégré en tant qu'isolant entre les lignes métalliques a été remplacé par des matériaux diélectriques à plus faible permittivité diélectrique dits Low-κ. La principale approche pour élaborer ces matériaux est de diminuer la densité en incorporant de la porosité dans des matériaux à base de SiOCH. L'introduction de ces matériaux peu denses a cependant diminué la fiabilité : sous tension, le diélectrique SiOCH poreux est traversé par des courants de fuite et peut claquer, générant des défaillances dans le circuit. La problématique pour l'industriel est de comprendre les mécanismes de dégradation du diélectrique Low-κ afin de déterminer sa durée de vie aux conditions de température et de tension de fonctionnement. Dans ce contexte, les travaux de cette thèse ont consisté à étudier les mécanismes de conduction liés aux courant de fuite afin d'extraire des paramètres quantitatifs représentatifs de l'intégrité électrique du matériau. Nous avons utilisé ces paramètres afin de suivre le vieillissement du matériau soumis à une contrainte électrique. Nous avons également introduit la spectroscopie d'impédance à basse fréquence comme moyen de caractérisation du diélectrique Low-κ. Cet outil nous a permis de caractériser le diélectrique intermétallique de façon non agressive et d'identifier des phénomènes de transport de charges et de diffusion métallique à très basses tensions qui offrent des perspectives pour l'étude de la fiabilité diélectrique des interconnexions. / With the miniaturization of integrated circuits, transmission delay due to interconnects is hardly increased. To minimize this parasitic effect, low-κ dielectric materials are requested to replace SiO2 as inter-metal dielectric between metallic lines. With its low density, porous SiOCH are good candidate for such applications. However, the implementation of these materials decreased reliability: under voltage, leakage currents establish through low-κ dielectric whose breakdown can generate failures in circuits. The problem for manufacturers is to understand the degradation mechanisms of porous SiOCH to determine its lifetime at conditions of nominal temperature and voltage. In this frame, conduction mechanisms of leakage currents have been studied during this thesis to extract quantitative parameters that represent the electrical integrity of the dielectric. We have used these parameters to monitor the electrical aging of the dielectric under electrical stress. We have proposed low-frequency impedance spectroscopy as characterization tool of low-κ. This tool allowed to characterize the intermetal dielectric non-destructively and to identify phenomenon of carriers transport and metallic diffusion at very low voltages that open perspectives for the study of dielectric reliability in interconnects.
4

Fiabilité des diélectriques low-k SiOCH poreux dans les interconnexions CMOS avancées / Porous SiOCH low-k dielectric reliability in advanced CMOS interconnects

Chery, Emmanuel 17 February 2014 (has links)
Avec la miniaturisation continue des circuits intégrés et le remplacement de l’oxydede silicium par des diélectriques low-κ poreux à base de SiOCH, la fiabilité des circuitsmicroélectroniques a été fortement compromise. Il est aujourd’hui extrêmement importantde mieux appréhender les mécanismes de dégradation au sein de ces matériaux afin deréaliser une estimation précise de leur durée de vie.Dans ce contexte, ces travaux de thèse ont consisté à étudier les mécanismes de dégradationau sein du diélectrique afin de proposer un modèle de durée de vie plus pertinent.Par une étude statistique du temps à la défaillance sous différents types de stress électrique,un mécanisme de génération des défauts par impact est mis en évidence. En l’associantau mécanisme de conduction au sein du diélectrique, il a été possible de développer unmodèle de durée de vie cohérent pour les interconnexions permettant une estimation de ladurée de vie plus fiable que les modèles de la littérature. L’impact du piégeage de chargesdans le diélectrique a ensuite été analysé grâce à ce modèle. / With the constant size reduction of integrated circuits and the replacement of silicon dioxide with porous SiOCH, the reliability of interconnects has been sharply reduced. A better understanding of degradation mechanisms is now required in order to have a precise estimation of product lifetime. In this work, degradation mechanisms have been studied in order to propose a more accurate lifetime model. A statistical study of times to failure under various electrical stresses is used to explain the physical mechanisms involved in defect creation. Combining these degradation mechanisms and Poole-Frenkel conduction mechanism enables the use of a new lifetime model. This model leads to a better estimation of the lifetime than existing models. Finally, the effects of charge trapping on lifetime in these materials have been studied.
5

Analyse par ToF-SIMS de matériaux fragiles pour les micro/nanotechnologies : évaluation et amplification de l'information chimique / ToF-SIMS characterisation of fragile materials used in microelectronic and microsystem devices : validation and enhancement of the chemical information

Scarazzini, Riccardo 04 July 2016 (has links)
Aujourd’hui, une grande variété de matériaux dit « fragiles » sont intégrés dans des dispositifs micro ou nanotechnologiques. Ces matériaux sont définissables comme « fragiles » en raison de leur forme, de leur dimension ou encore de leur densité. Dans ce travail, trois catégories de matériaux, de différents niveaux de maturités industrielle et technologique, ont été étudiés par spectrométrie de masse des ions secondaires à temps du vol (ToF-SIMS). Ces matériaux sont: du silicium méso-poreux, des polyméthacrylates déposés en couches très minces par voie chimique en phase vapeur initiée (iCVD) et des matériaux organosilicates (SiOCH) à basse constante diélectrique (low-k). L’objectif de ce travail est de vérifier et de valider la méthode ToF-SIMS comme une technique fiable pour répondre aux besoins de caractérisation chimique rencontrés pas ces matériaux Il s’agit également d’établir la cohérence de l’information chimique produite par l’interprétation de l’interaction ion/matière se déroulant lors de l’analyse. Pour le silicium méso-poreux, les échantillons ont été pulvérisés par différentes sources primaires d’ions (Césium, Xénon, Oxygène) et l’information secondaire générée comme, par exemple, les différences d’ionisation entre la couche poreuse et le matériau dense ont été analysées, notamment vis de l’énergie du faisceau de pulvérisation mais aussi du taux de porosité du matériau cible. Des modifications morphologiques significativement différentes selon la source d’ions ont également été observées et ont été corrélées à différents types de régime de pulvérisation, principalement induits par le taux de porosité de la cible.Concernant la caractérisation de polymères en couches minces, des conditions d’abrasion très peu agressives, notamment l’usage d’ions d’argon en cluster polyatomiques, ont été appliquées avec l’intention d’obtenir une information chimique secondaire riche en hautes masses moléculaires. La discrimination de films de polyméthacrylate avec une structure chimique quasi-identique a pu être obtenue et un protocole de quantification de copolymères proposé. De plus, par l’utilisation de la méthode d’analyse de données en composantes principales (PCA) appliquée aux spectres,une corrélation claire a été établie entre les composantes principales et la masse moléculaire des films de polymères.Enfin l’impact de traitements d’intégration tels que de la gravure ou du nettoyage chimique, nécessaires à la mise en œuvre industrielle des matériaux low-k, mais défavorables à leurs propriétés diélectriques, a été étudié. Pour obtenir une information chimique résolue en profondeur, l’abrasion par césium à basse énergie a été identifiée comme la stratégie la plus sensible et la plus adaptée. De même, la PCA a permis d’amplifier significativement les différences chimiques entre échantillons, permettant de rapprocher les variations de constante diélectrique aux compositions chimiques / Nowadays, the micro and nanotechnology field integrates a wide range of materials that can be defined as “fragile” because of their shape, dimension or density. In this work, three materials of this kind, at different level of technological and industrial maturity are studied by time of flight secondary ion mass spectrometry (ToF-SIMS). These materials are: mesoporous silicon, thin polymethacrylate films deposited by initiated Chemical Vapour Deposition (i-CVD)and hybrid organosilicate (SiOCH) dielectric materials (low-k). The objective is to verify and validate the ToF-SIMS as a reliable characterisation technique for describing the chemical properties of these materials. Indeed, because of this intrinsic ‘fragility’ the consistency of the chemical information is connected to an appropriate interpretation of the specific ion/matter interactions taking place.For mesoporous silicon, a systematic analysis is carried out considering various sputtering ion sources (Caesium, Xenon and Oxygen); both sputtering and ionisation behaviours are examined relatively to the nonporous silicon, taking into account energy of the sputtering beam and porosity rate of the target material.Concerning nanometric thick polymer films, low damaging analysis conditions are applied by the use of argon cluster primary ion sources in order to obtain a significant molecular secondary ion information. In these conditions, a discrimination of quasi-identical nanometre thick structures is made possible and a quantification method for copolymers is then proposed. In addition, with the supplement of data principal component analysis (PCA) an innovative and significant correlation is obtained between main Principal Component and sample molecular weights.Finally, the effect of several industrial integration processes (such as etching or wet cleaning) applied on low-k materials are studied in order to understand their detrimental impact on low-k insulating properties. To achieve a depth-resolved chemical information, low energy caesium sputterings are shown to be the most adapted and sensitive strategy. In addition, PCA is shown to be almost essential to amplify differences between samples significantly. This approach allowed combining the variation of physical properties (dielectric constant) with the chemical ones.
6

Evaluation des performances isolantes de couches de SIOCH poreuses et de polymères destinés aux technologies d'intégration innovantes / Dielectric characterization of porous SiOCH and polymer films used in state-of-the-art integration technologies

Dubois, Christelle 13 May 2011 (has links)
L'objectif de ce travail de thèse a été d'évaluer, à partir d'outils de caractérisation électrique (spectroscopie d'impédance basse fréquence et courants thermo-stimulés), l'impact des étapes de polissage mécanochimique (CMP) et de recuits thermiques sur les propriétés diélectriques de matériaux utilisés pour les dernières générations de circuits intégrés. Une première partie est focalisée sur le matériau SiOCH poreux déposé par voie chimique « en phase vapeur » assisté par plasma (PECVD) suivant une approche porogène (p=26%, d=2nm et er=2,5). Son intégration dans les technologies 45nm nécessite l'utilisation d'un procédé de ‘CMP directe' qui induit une dégradation des propriétés isolantes attribuée à l'adsorption de surfactants et de molécules d'eau. L'analyse diélectrique sur une large gamme de fréquence (10-1Hz- 105Hz) et de température (-120°C -200°C) a mis en évidence plusieurs mécanismes de relaxation diélectrique et de conduction liés à la présence de molécules nanoconfinées (eau et porogène) dans les pores du matériau. L'étude de ces mécanismes a permis d'illustrer le phénomène de reprise en eau du SiOCH poreux ainsi que d'évaluer la capacité de traitements thermiques à en restaurer les performances. Une seconde partie concerne l'étude d'une résine époxy chargée avec des nanoparticules de silice, utilisée en tant que ‘wafer level underfill' dans les technologies d'intégration 3D. Les analyses en spectroscopie d'impédance ont montré que l'ajout de nanoparticules de silice s'accompagne d'une élévation de la température de transition vitreuse et de la permittivité diélectrique, ainsi que d'une diminution de la conductivité basse fréquence. L'autre contribution majeure des mesures diélectriques a été de montrer qu'un refroidissement trop rapide de la résine à l'issue de la réticulation était responsable d'une contrainte interne qui pourra occasionner des problèmes de fiabilité pour l'application. / The aim of the thesis was to investigate, by electrical means (dielectric spectroscopy and thermally stimulated current), the impact of the chemical-mechanical polishing process and of thermal treatments on the dielectric properties of materials used in state-of-the-art Integrated Circuit (IC) technologies. A first part focuses on the nanoporous SiOCH (p=26%, e=2 nm and er=2,5) thin films deposited by plasma enhanced chemical vapor deposition (PECVD) using a porogen approach. After undergoing a process of direct CMP for its integration in the 45 nm node technology and beyond, those films experience a degradation of the insulating properties due to the adsorption of water and surfactants. A dielectric analysis performed on a wide range of frequency (10-1Hz - 105Hz) and temperature (-120°C - 200°C) exhibited many dielectric relaxation and conduction mechanisms due to molecules (water and porogen) nano-confined in pores. The phenomenon of water uptake of the porous SiOCH has been enlightened and the efficiency of thermal treatment to restore its performances has been evaluated through the study of these mechanisms. A second part deals with an epoxy resin filled with nano-particles of silica used as ‘wafer level underfill' for the 3D integration. Impedance spectroscopy showed that the addition of nano-particles induces an increase in the glass transition temperature and dielectric permittivity, as well as a decrease of the low frequencyconductivity. Furthermore, the dielectric measurements showed that a fast cool down of the resin after the cross-linking stage give rise to internal stresses which could potentially lead to reliability issues.
7

Limites de l'intégration des masques de gravure et d'un matériau diélectrique hybride pour la fabrication des interconnexions en microélectronique

Ducoté, Julien 29 June 2010 (has links) (PDF)
À partir des noeuds technologiques 45nm, les lignes métalliques des interconnexions des composants microélectroniques sont isolées entre elles par des matériaux diélectriques à faible permittivité (SiOCH poreux). Ces matériaux poreux sont sensibles aux procédés de fabrication et leur dégradation doit être minimisée afin de conserver de bonnes performances électriques et mécaniques. De plus, la réduction des dimensions des lignes métalliques se traduit par une augmentation de la résistivité du cuivre. Pour limiter cette dernière, des travaux sont menés sur la métallurgie et le contrôle de la rugosité des lignes de cuivre. Ce travail se focalise sur deux limites rencontrées lors de la fabrication de structures d'interconnexions : d'une part lors du transfert par gravure plasma de motifs à partir d'un masque métallique ou organique dans les matériaux SiOCH poreux, et d'autre part lors de l'intégration d'un matériau SiOCH hybride, rendu poreux soit après l'étape de gravure ou de métallisation des tranchées. En particulier, il est mis en évidence que les masques de gravure peuvent entraîner une déformation des profils au cours des procédés de gravure plasma des structures sous l'effet de la relaxation de contraintes mécaniques pour les masques métalliques ou de la modification de leur composition pour les masques organiques. Une étude préliminaire, sur le transfert de la rugosité de bord de ligne (LWR) pendant l'étape de gravure, menée à l'aide d'un CD-AFM, est présentée. L'intérêt de l'intégration du matériau SiOCH sous sa forme hybride pour répondre à la problématique de la dégradation des SiOCH poreux par les procédés impliqués lors de la fabrication des niveaux d'interconnexions est démontré.
8

Limites de l'intégration des masques de gravure et d'un matériau diélectrique hybride pour la fabrication des interconnexions en microélectronique

Ducote, Julien 29 June 2010 (has links) (PDF)
À partir des noeuds technologiques 45nm, les lignes métalliques des interconnexions des composants microélectroniques sont isolées entre elles par des matériaux diélectriques à faible permittivité (SiOCH poreux). Ces matériaux poreux sont sensibles aux procédés de fabrication et leur dégradation doit être minimisée afin de conserver de bonnes performances électriques et mécaniques. De plus, la réduction des dimensions des lignes métalliques se traduit par une augmentation de la résistivité du cuivre. Pour limiter cette dernière, des travaux sont menés sur la métallurgie et le contrôle de la rugosité des lignes de cuivre. Ce travail se focalise sur deux limites rencontrées lors de la fabrication de structures d'interconnexions : d'une part lors du transfert par gravure plasma de motifs à partir d'un masque métallique ou organique dans les matériaux SiOCH poreux, et d'autre part lors de l'intégration d'un matériau SiOCH hybride, rendu poreux soit après l'étape de gravure ou de métallisation des tranchées. En particulier, il est mis en évidence que les masques de gravure peuvent entraîner une déformation des profils au cours des procédés de gravure plasma des structures sous l'effet de la relaxation de contraintes mécaniques pour les masques métalliques ou de la modification de leur composition pour les masques organiques. Une étude préliminaire, sur le transfert de la rugosité de bord de ligne (LWR) pendant l'étape de gravure, menée à l'aide d'un CD-AFM, est présentée. L'intérêt de l'intégration du matériau SiOCH sous sa forme hybride pour répondre à la problématique de la dégradation des SiOCH poreux par les procédés impliqués lors de la fabrication des niveaux d'interconnexions est démontré.
9

Evaluation des performances isolantes de couches de SIOCH poreuses et de polymères destinés aux technologies d'intégration innovantes

Dubois, Christelle 13 May 2011 (has links) (PDF)
L'objectif de ce travail de thèse a été d'évaluer, à partir d'outils de caractérisation électrique (spectroscopie d'impédance basse fréquence et courants thermo-stimulés), l'impact des étapes de polissage mécanochimique (CMP) et de recuits thermiques sur les propriétés diélectriques de matériaux utilisés pour les dernières générations de circuits intégrés. Une première partie est focalisée sur le matériau SiOCH poreux déposé par voie chimique " en phase vapeur " assisté par plasma (PECVD) suivant une approche porogène (p=26%, d=2nm et er=2,5). Son intégration dans les technologies 45nm nécessite l'utilisation d'un procédé de 'CMP directe' qui induit une dégradation des propriétés isolantes attribuée à l'adsorption de surfactants et de molécules d'eau. L'analyse diélectrique sur une large gamme de fréquence (10-1Hz- 105Hz) et de température (-120°C -200°C) a mis en évidence plusieurs mécanismes de relaxation diélectrique et de conduction liés à la présence de molécules nanoconfinées (eau et porogène) dans les pores du matériau. L'étude de ces mécanismes a permis d'illustrer le phénomène de reprise en eau du SiOCH poreux ainsi que d'évaluer la capacité de traitements thermiques à en restaurer les performances. Une seconde partie concerne l'étude d'une résine époxy chargée avec des nanoparticules de silice, utilisée en tant que 'wafer level underfill' dans les technologies d'intégration 3D. Les analyses en spectroscopie d'impédance ont montré que l'ajout de nanoparticules de silice s'accompagne d'une élévation de la température de transition vitreuse et de la permittivité diélectrique, ainsi que d'une diminution de la conductivité basse fréquence. L'autre contribution majeure des mesures diélectriques a été de montrer qu'un refroidissement trop rapide de la résine à l'issue de la réticulation était responsable d'une contrainte interne qui pourra occasionner des problèmes de fiabilité pour l'application.
10

Analyse électrique de diélectriques SiOCH poreux pour évaluer la fiabilité des interconnexions avancées

Verriere, Virginie 18 February 2011 (has links) (PDF)
Avec la miniaturisation des circuits intégrés, le délai de transmission dû aux interconnexions a fortement augmenté. Pour limiter cet effet parasite, le SiO2 intégré en tant qu'isolant entre les lignes métalliques a été remplacé par des matériaux diélectriques à plus faible permittivité diélectrique dits Low-κ. La principale approche pour élaborer ces matériaux est de diminuer la densité en incorporant de la porosité dans des matériaux à base de SiOCH. L'introduction de ces matériaux peu denses a cependant diminué la fiabilité : sous tension, le diélectrique SiOCH poreux est traversé par des courants de fuite et peut claquer, générant des défaillances dans le circuit. La problématique pour l'industriel est de comprendre les mécanismes de dégradation du diélectrique Low-κ afin de déterminer sa durée de vie aux conditions de température et de tension de fonctionnement. Dans ce contexte, les travaux de cette thèse ont consisté à étudier les mécanismes de conduction liés aux courant de fuite afin d'extraire des paramètres quantitatifs représentatifs de l'intégrité électrique du matériau. Nous avons utilisé ces paramètres afin de suivre le vieillissement du matériau soumis à une contrainte électrique. Nous avons également introduit la spectroscopie d'impédance à basse fréquence comme moyen de caractérisation du diélectrique Low-κ. Cet outil nous a permis de caractériser le diélectrique intermétallique de façon non agressive et d'identifier des phénomènes de transport de charges et de diffusion métallique à très basses tensions qui offrent des perspectives pour l'étude de la fiabilité diélectrique des interconnexions.

Page generated in 0.0278 seconds