• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 31
  • Tagged with
  • 31
  • 31
  • 31
  • 10
  • 10
  • 9
  • 9
  • 8
  • 8
  • 7
  • 6
  • 6
  • 6
  • 6
  • 5
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
21

Uma contribuição ao projeto de CI's com MESFET em GaAs

Chueiri, Ivan Jorge 25 May 1993 (has links)
Orientador : Jacobus Wilibrordus Swart / Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica / Made available in DSpace on 2018-07-18T10:05:20Z (GMT). No. of bitstreams: 1 Chueiri_IvanJorge_M.pdf: 14720870 bytes, checksum: 618b71ab7ca41e4aae5585876627dec7 (MD5) Previous issue date: 1993 / Resumo: Este trabalho visa criar um elo entre processos e projetos de Circuitos Integrados e Dispositivos no Laboratório de Pesquisa e Dispositivos. Na área referente a processos, o Laboratório de Pesquisa e Dispositivos vem desenvolvendo a técnica de "Difusão de Enxofre em Arseneto de Gálio por Processamento Térmico Rápido" e obtendo dispositivos básicos. Dessa forma a partir deste trabalho foram extraidos os parâmetros Spice dos dispositivos em Arseneto de Gálio que vem sendo processados tanto desenvolvemos no Laboratório 39 do LPD. Para um programa de extração (Statz de parâmetros para o modelo de Raytheon et aI.) , utilizado em SPICE3D2 (UCBerkeley). Obtivemos ajustes das curvas caracteristicas experimentais e de modelo com erro menor que 4%. Juntamente com estes parâmetros foram escritos arquivos de tecnologia, que são regras de projetos para o desenho de novos circuitos. Foi desenvolvido um "chipteste" contendo dispositivos e circuitos, com finalidade de se extrair parâmetros e testar a performance de cada um dos circuitos / Abstract: The intent of the thesis. "A Contribution to Integrated Circuit Projects With GaAs MESFET", is to obtain a relationship between the Research on Devices laboratory (lPD) GaAs process and the integrated circuits develop using this process. The LPD develops integrated circuits using the "Rapid Thermal Diffusion of Sulphur in GaAs". The SPICE parameters of the GaAs devices (depletion transistors), made using this process, was extracted. A computer program was developed, that takes as input the carachteristics' curves of a device and gives as output the SPICE parameters according to the Raytheon Model (Statz et aI.). This model is used in the SPICE3-D2 (and upgraded version) developed by UC-Berkeley. We have obtained the experimental characteristics' curves fit with that of the medel with an errer les5 than 4%. We have, also written the technology file/design rules for MAGIC-6.3, for the LPD diffusion process. Using MAGIC we have developed a test chip ("chipteste") with devices and circuits. These devices will be used to extract parameters that will contribute to the fine tuning of the model and the LPD process / Mestrado / Mestre em Engenharia Elétrica
22

Estudo das características elétricas do biossensor do tipo FET baseado em InP / Study of electrical characteristics of FET-type biosensor based on InP

Silva, Aldeliane Maria da, 1994- 07 December 2016 (has links)
Orientadora: Mônica Alonso Cotta / Dissertação (mestrado) - Universidade Estadual de Campinas, Instituto de Física Gleb Wataghin / Made available in DSpace on 2018-08-31T00:06:01Z (GMT). No. of bitstreams: 1 Silva_AldelianeMariada_M.pdf: 11574559 bytes, checksum: 5c39733d3a4441b98e7edbef8adbd795 (MD5) Previous issue date: 2016 / Resumo: Este trabalho apresenta resultados de nossa investigação sobre as propriedades elétricas do biossensor do tipo transistor de efeito de campo (FET, do inglês Field Effect Transistor) baseado em fosfeto de índio (InP). A estrutura deste biossensor consiste em um filme fino de InP do tipo-n crescido por Epitaxia de Feixe Químico (CBE, do inglês Chemical Beam Epitaxy) sobre um substrato de InP semi-isolante. No nosso biosensor, o contato da porta foi substituído por uma camada de biomoléculas carregadas de interesse para a detecção, funcionalizadas na camada de óxido do InP. O campo elétrico associado a estas biomoléculas pode modular o canal de condução. O sistema de interação específica utilizado foi a hibridização de fitas de ssDNA (single stranded DNA) complementares, onde os oligonucleotídeos receptores (probe) ssDNA foram imobilizados covalentemente na superfície da amostra. Este procedimento foi realizado através da oxidação com plasma de O2, seguida da funcionalização utilizando etanolamina e polietileno glicol (PEG), que serve como linker para a imobilização de receptores na superfície. As medidas elétricas de detecção foram feitas com as moléculas de target diluídas em buffer TRIS. A hibridização do DNA provoca um aumento na densidade de cargas na superfície, que consequentemente aumenta a largura da região de depleção no semicondutor, variando a resistência medida. A resposta do biossensor corresponde à variação da resistência em função da concentração de target. O biossensor apresentou sensibilidade para medidas de concentrações entre 10 pM e 30 pM, onde ocorre a saturação, e o tempo de resposta, no qual encontramos a estabilização do sinal medido, foi de aproximadamente 20 min. Variando a concentração de portadores e a espessura da camada semicondutora, verificamos alterações no limite de saturação (até ?M) e na sensibilidade do dispositivo. O controle destas propriedades, porém, mostrou-se limitado devido à variações na dopagem residual do semicondutor, e por isso discutimos aqui alternativas à geometria do dispositivo. Analisamos também a camada funcionalizada através de medidas de topografia e potencial de superfície usando métodos de microscopia de varredura por sonda (SPM, do inglês Scanning Probe Microscopy). Pudemos identificar a variação no potencial de superfície associada à imobilização do PEG e do DNA probe, mas não obtivemos resolução para o DNA target. Esta técnica permitiu porém verificar a estratificação de quatro níveis de potencial de superfície, no caso onde a funcionalização resultou em camadas mais espessas do que os valores típicos (~2 nm de espessura), em pequenas áreas do semicondutor / Abstract: This dissertation presents our results for the electrical properties investigation of Indium Phosphide (InP) based Field Effect Transistor (FET) biosensor. The structure of this biosensor consists of a thin n-type InP film grown by Chemical Beam Epitaxy (CBE) on a semi-insulating InP substrate. In our biosensor, the gate contact has been replaced by charged biomolecules of interest for detection, functionalized to the InP oxide layer. The electric field associated with these biomolecules provides the conduction channel modulation. The specific interaction system used here was the hybridization of single stranded-DNA (ssDNA) complementary oligonucleotides, for which the ssDNA receivers (probes) were covalently immobilized on the sample surface. The functionalization was carried out by oxidation with O2 plasma, followed by grafting biomolecules using ethanolamine and polyethylene glycol (PEG), which act as a linker for immobilizing the receptors on the surface. Electrical detection measurements were made with the target molecules diluted in TRIS buffer. DNA hybridization causes an increase in the surface charge density; consequently the semiconductor depletion width increases, affecting the measured resistance. The biosensor response function corresponds to the resistance variation as a function of target concentration. Our biosensor showed measured sensitivity to concentrations between 10 pM and 30 pM, for which signal saturation occurs. The response time, for which the measured signal stabilization was observed, was approximately 20 min. By varying the carrier concentration and the thickness of the semiconductor layer, we observed changes in the saturation limit (up ?M) and device sensitivity. The control of these properties, however, is limited due to variations in the residual doping of the semiconductor. Therefore we discuss here alternative device geometries. We also analyzed the functionalized layer by topography and surface potential measurements obtained using scanning probe microscopy (SPM) methods. We were able to identify the change in surface potential associated with the immobilization of PEG and probe DNA, but not for the target DNA. These techniques have however shown four surface potential levels in the case when the functionalization resulted in non-uniform layers, thicker than the typical values (~ 2 nm), in small areas of the semiconductor / Mestrado / Física / Mestra em Física / 165741/2014-7 / CNPQ
23

Transistor de efeito de campo (FET) para detecção quimica e bioquimica utilizando dieletrico de porta constituido de camada empilhada SiNx/SiOxNy / Field effect transistors (FET) with dielectric gate made of a stacked layer SiNx/SiOxNy for chemical and biochemical detection

Souza, Jair Fernandes de 06 August 2009 (has links)
Orientadores: Peter Jurgen Tatsch, Jose Alexandre Diniz / Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica e de Computação / Made available in DSpace on 2018-08-13T19:29:46Z (GMT). No. of bitstreams: 1 Souza_JairFernandesde_M.pdf: 4396662 bytes, checksum: cf77f050e25403e0bd758bdb52214aa2 (MD5) Previous issue date: 2009 / Resumo: Esta dissertação consiste de duas etapas. Inicialmente são estudados filmes de nitreto de silício depositados por LPCVD, Low Pressure Chemical Vapor Deposition, utilizando-se diferentes relações de concentração de gases reagentes, [SiH2Cl2]/[NH3], e utilizando-se como substrato lâminas de silício tipo p, com e sem camada almofada de oxinitreto de silício; estruturas SiNx/Si e SiNx/SiOxNy/Si, respectivamente. Os filmes foram caracterizados física e eletricamente, bem como do ponto de vista da capacidade de adsorção de monocamadas biologicamente ativas. As características dos filmes foram comparadas, buscando-se identificar um filme cujas propriedades fossem adequadas para utilização como material dielétrico a ser empregado na porta de Transistores de Efeito de Campo química e bioquimicamente sensíveis. Os resultados da elipsometria realizada apontaram filmes com índices de refração variando de 1,875 a 1,990, indicando filmes ricos em nitrogênio, e com espessura diretamente proporcional à relação de concentração dos gases reagentes, ou seja, o aumento na relação de concentração de gases produz aumento na taxa de deposição dos filmes. A espectroscopia de absorção de infra-vermelho permitiu analisar as ligações químicas presentes nos filmes e nas monocamadas automontadas formadas pela imobilização de biomoléculas. Os espectros dos filmes apresentam picos de absorção em 827/837 cm-1 e 451/484 cm-1 que correspondem a ligações Si-N, confirmando a indicação da elipsometria referente à presença de nitrogênio. Após a formação das camadas automontadas, compostas de proteínas do tipo Imunoglobulina, IgG 2,5 e 5%, os espectros mostraram bandas de absorção de IR em torno de 3300 cm-1 e nas faixas de 1700 a 1600 cm-1 e 1600 a 1500 cm-1. Este espectro caracteriza a formação de grupos amida A, I e II, respectivamente, ou seja, a formação das monocamadas biologicamente ativas. Através de espectroscopia micro-Raman foram detectados deslocamentos nos picos principais do substrato de silício. Tais deslocamentos foram relacionados com o stress provocado pelos filmes depositados. Foram fabricados capacitores Metal/Isolante/Semicondutor, MIS, utilizando-se as estruturas dielétrico/semicondutor obtidas. Os capacitores possibilitaram realizar a caracterização elétrica dos filmes através de medidas C-V, capacitância-voltagem, de alta frequência de 1MHz, obtendo-se a densidade de cargas existente na interface dielétrico/semicondutor, em torno de 1011cm-2, e permitiram observar o comportamento da interface com a realização de etapas térmicas e a degradação em suas propriedades de recombinação. Após a fabricação e a caracterização das camadas dielétricas, foi iniciada a segunda etapa do trabalho com a fabricação de matrizes de Transistores de Efeito de Campo, FETs. Foi usado como dielétrico de porta os filmes da etapa anterior que apresentaram melhor desempenho do ponto de vista físico, elétrico, químico e biológico. A caracterização elétrica dos FETs foi realizada utilizando-se dispositivos de controle dispostos isoladamente nas pastilhas. Foram obtidas as características elétricas dos dispositivos e observado seu comportamento nas etapas térmicas. A sensibilidade química foi verificada aplicando-se analitos com diferentes concentrações de íons H+ , correspondente a diferentes valores de pH, na região de porta dos FETs. Foi demonstrada a viabilidade da utilização dos FETs fabricados na detecção química/bioquímica, com possibilidade de emprego em atividades de diagnóstico médico, controle ambiental, controle da produção de fármacos e cosméticos, e aplicações agropecuárias / Abstract: This dissertation consists of two stages. Initially are studied Silicon Nitride films deposited by LPCVD (Low Pressure Chemical Vapor Deposition) using different relationship of reagent gases concentration ([SiH2Cl2] / [NH3]) and using as substratum Silicon wafers p-type with and without pad layer of Silicon Oxinitride - SiNx/Si and SiNx/SiOxNy /Si structures. The films were characterized physically and electrically as well as the point of view of adsorption capacity of biologically active monolayer. The films characteristics were compared, seeking to identify a film whose characteristics are adequate to be used as dielectric material applied at the project and fabrication of chemically and biochemically sensitive Field Effect Transistors - FETs. Ellipsometry results pointed films with refraction indexes ranging from 1,875 to 1,990, it indicating films rich in Nitrogen, and with thickness directly proportional to the relationship of reagent gases concentration. In the other words, the increase of the relationship of gases concentration produces an increase of the films deposition rates. The infra-red absorption spectroscopy allowed us to analyze the chemical bonds present in the dielectric films and in the self assembled monolayers formed by the immobilization of biological molecules. The films spectrum have absorption spike in 827/837 cm-I and 451/484 cm-I that correspond to Si-N bonds, confirming the indication of the ellipsometry regarding as nitrogen presence. After self assembled monolayers formation composed by proteins of the type Immunoglobulin - IgG 2.5 and 5%, the spectra showed absorption bands of IR, around 3300 cm-1 and in the ranges of 1700 to 1600 cm-1 and 1600 to 1500 cm-1, spectrum that characterizes the formation of amida groups A, I and II, respectively, in other words, the formation of biologically active monolayers. Through micro-Raman spectrometry were detected displacements in the main spikes of the Silicon substratum. This displacement has been related with the stress induced by the deposited films. It was manufactured Metal Insulating Semiconductor (MIS) capacitors, using the structures dielectric/semiconductor obtained. The capacitors made possible to accomplish the electric characterization of the films through high frequency (1 MHz) capacitance-voltage (C-V) measurements, obtained the density of charges existent on the interface dielectric/semiconductor - around 1011 cm-2; and to observe the behavior of the interface with the accomplishment of thermal stages and the degradation in its recombination properties. After production and characterization of the dielectric layers, has been accomplished the second stage of the work with the production of FETs, being used as dielectric gate the films that presented better performance of the point of view physical, electric, chemical and biological. The electric characterization of the FETs that compose the arrays, has been accomplished being used the control devices disposed separately in the dies allowing to raise the characteristics of the devices construction, as well as, the behavior of the same ones when submitted to thermal stages. The chemical sensibility was verified being applied analytes with different H+ ions concentrations - different pH values - in the gate area of the FETs that compose the arrays. The viability of use of the modified FETs for chemistry/biochemistry detection was demonstrated, with employment possibility in activities of medical diagnosis, environmental control, control of the production of drugs and cosmetics and agricultural applications. / Mestrado / Eletrônica, Microeletrônica e Optoeletrônica / Mestre em Engenharia Elétrica
24

Processos termicos rapidos RTO / RTA para fabricação de dispositivos MOS / Rapid thermal process RTO / RTA for MOS devices fabrication

Cavarsan, Fabio Aparecido 06 October 2005 (has links)
Orientador: Jose Alexandre Diniz / Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica e de Computação / Made available in DSpace on 2018-08-08T23:44:14Z (GMT). No. of bitstreams: 1 Cavarsan_FabioAparecido_M.pdf: 1844366 bytes, checksum: d8325d817635ac4ad6cb932db6b5ebb6 (MD5) Previous issue date: 2005 / Mestrado / Microeletronica e Optoeletronica / Mestre em Engenharia Elétrica
25

Desenvolvimento de materiais e métodos de fabricação de sensores químicos/bioquímicos baseados em silício e nanoestruturas de carbono (ISFET, CNTFET e GraFET) = Development of materials and methods of fabrication of chemical/biochemical sensors based on silicon and carbon nanostructures (ISFET, CNTFET and GraFET) / Development of materials and methods of fabrication of chemical/biochemical sensors based on silicon and carbon nanostructures (ISFET, CNTFET and GraFET)

Souza, Jair Fernandes de 21 August 2018 (has links)
Orientadores: Peter Jürgen Tatsch, José Alexandre Diniz / Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação / Made available in DSpace on 2018-08-21T00:22:30Z (GMT). No. of bitstreams: 1 Souza_JairFernandesde_D.pdf: 13128989 bytes, checksum: 25325c1093f208d379ae77adc08c90ff (MD5) Previous issue date: 2012 / Resumo: Este trabalho teve como objetivo o desenvolvimento de materiais e métodos avançados de fabricação de sensores químicos/bioquímicos. Utilizando equipamentos disponíveis no Centro de Componentes Semicondutores da UNICAMP, foram desenvolvidos e caracterizados filmes finos de alta constante dielétrica e filmes metálicos. Os materiais desenvolvidos foram empregados na fabricação de sensores baseados em transistores de efeito de campo sensíveis a íons (ISFET) e em dispositivos de efeito de campo que incorporam nanoestruturas de carbono como elemento funcional [grafeno (GraFET) e nanotubos de carbono (CNTFET)]. A aplicação dos materiais como camada sensível, dielétrico de porta e eletrodos, assim como a utilização de nanoestruturas, tem por objetivo aumentar a sensibilidade e a biocompatibilidade dos dispositivos, construir dispositivos robustos que possam ser empregados em ambientes agressivos e obter sensores com resposta linear e estável com o tempo e temperatura. Foram fabricados, caracterizados e encapsulados ISFET's com camada sensível constituída por filmes finos de nitreto de silício (SiNx)/nitreto de alumínio (AlN) e com eletrodos formados por filmes metálicos de alumínio. Filmes finos de óxido de titânio (TiOx) e óxido de tântalo (TaOx), cujas características são de interesse para aplicação como filme sensível em determinadas aplicações, também foram estudados. Os filmes foram obtidos pelas técnicas de deposição química em fase vapor (LPCVD), sputtering dc e oxidação térmica rápida (RTO). Foram desenvolvidas técnicas de fabricação de dispositivos de efeito de campo baseados em grafeno e nanotubos de carbono, utilizando como dielétrico de porta os filmes finos desenvolvidos para formar a camada sensível dos ISFET's. Entretanto, os eletrodos foram construídos empregando-se filmes finos de nitreto de tântalo (TaN) depositados por sputtering dc. Filmes sensíveis de SiNx são quimicamente estáveis e tornam os sensores robustos com sensibilidade em tensão próxima ao limite de Nernst (59 mV/pH). Entretanto, a grande sensibilidade em tensão obtida (50 mV/pH) não é transformada em alta sensibilidade em corrente (1,35 ?A/pH), devido ao baixo valor de transcondutância observado (19 ?S). Por outro lado, quando se utiliza AlN depositado a temperatura ambiente, tem-se um baixo valor de sensibilidade em tensão (20 mV/pH) que é transformado em uma alta sensibilidade em corrente (28 ?A/pH), em razão da alta transcondutância dos dispositivos (329 ?S). GraFET's e CNTFET's demonstraram a modulação da corrente entre os eletrodos de fonte e dreno pela ação do campo elétrico perpendicular, aplicado com o auxílio do eletrodo de porta. Entretanto, o efeito de campo observado é ambipolar, ou seja, existem dois regimes possíveis de operação dos dispositivos, um regime dominado pelo transporte de lacunas e outro dominado pelo transporte de elétrons. A característica ambipolar possibilita a detecção de moléculas carregadas positiva e negativamente, enquanto que o baixo coeficiente de temperatura do filme de TaN possibilita a utilização dos dispositivos em processos realizados em altas temperaturas / Abstract: The main aim of this work is the development of advanced materials and methods for the fabrication of chemical/biochemical sensors. By using equipments available in the Center of Semiconductor Components of UNICAMP, high dielectric constant thin films and metallic films have been developed and characterized. The materials developed were employed in the fabrication of sensors based on ion-sensitive field effect transistors (ISFET) and in field-effect devices incorporating carbon nanostructures as functional elements [Graphene (GraFET) and carbon nanotubes (CNTFET)]. The application of these materials as sensitive layer, gate dielectric and electrodes, as well as the use of nanostructures, aims to increase the sensitivity and biocompatibility of the devices, to build robust devices that can be used in harsh environments and obtain sensors with linear and stable response over time and temperature. ISFET's with sensitive layer consisting of thin films of silicon nitride (SiNx)/aluminum nitride (AlN) and with electrodes formed by aluminum metallic films were fabricated, characterized and packaged. Thin films of titanium oxide (TiOx) and tantalum oxide (TaOx), whose characteristics are interesting in certain applications, were also studied. The films were obtained by chemical deposition techniques in vapor phase (LPCVD), dc sputtering and rapid thermal oxidation (RTO). Techniques have been developed for manufacturing field effect devices based on graphene and carbon nanotubes, the thin films developed to form the ISFET's sensitive layer were used as gate dielectric. However, the electrodes were built by using thin film of tantalum nitride (TaN) deposited by dc sputtering. SiNx sensitive films are chemically stable and make sensors robust with sensitivity in voltage near to the Nernst limit (59 mV/pH). However, the great sensitivity in voltage (50 mV/pH) is not transformed into high current sensitivity (1.35 ?A/pH), due to the low value of transconductance (19 ?S). On the other hand, when AlN deposited at room temperature is used, a low voltage sensitivity value is obtained (20 mV/pH) that is transformed into a high sensitivity in current (28 ?A/pH), due to high transconductance of the devices (329 ?S). GraFETs and CNTFETs demonstrated the current modulation between the source and drain electrodes by the action of perpendicular electric field, applied with the aid of the gate electrode. However, the field effect observed is ambipolar, in other words, there are two possible operation regime, a regime dominated by the transport of holes and another dominated by transport of electrons. The ambipolar feature enables the detection of positively and negatively charged molecules, while the low temperature coefficient of TaN film allows the use of devices in processes carried out at high temperatures / Doutorado / Eletrônica, Microeletrônica e Optoeletrônica / Doutor em Engenharia Elétrica
26

Caracterização de memorias analogicas implementadas com transistores MOS floating gate / Analogic memories characterization implemented with floating gate MOS transistors

Couto, Andre Luis do 28 November 2005 (has links)
Orientador: Carlos Alberto dos Reis Filho / Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica e de Computação / Made available in DSpace on 2018-08-07T11:14:24Z (GMT). No. of bitstreams: 1 Couto_AndreLuisdo_M.pdf: 2940356 bytes, checksum: 959908541a3bc46b7b7035eb035de186 (MD5) Previous issue date: 2005 / Resumo: A integração de memórias e circuitos analógicos em um mesmo die oferece diversas vantagens: redução de espaço nas placas, maior confiabilidade, menor custo. Para tanto, prescindir-se de tecnologia específica à confecção de memórias e utilizar-se somente de tecnologia CMOS convencional é requisito para tal integração. Essa pode ser tanto mais eficiente quanto maior a capacidade de armazenagem de dados, ou seja, maior a densidade de informação. Para isso, memórias analógicas mostram-se bem mais adequadas, posto que em uma só célula (um ou dois transistores) podem ser armazenados dados que precisariam de diversas células de memórias digitais e, portanto, de maior área. Neste trabalho, transistores MOS com porta flutuante mostraram-se viáveis de serem confeccionados e resultados de caracterização como tipos de programação, retenção de dados e endurance foram obtidos. O trabalho apresenta as principais características dos FGMOS (Floating Gate MOS) e presta-se como referência à futuros trabalhos na área / Abstract:Monolithic integration of memories and analog circuits ,in the same die offers interesting advantages like: smaller application boards, higher robustness and mainly lower costs. Today, a profitable integration of these kind of circuit can only be possible using conventional CMOS technology, which allows efficiently extraordinary levels of integration. Thus, the possibility of integrating analog memories looks more suitable since one single cell (usually use one or two transistors) serves for storing the same data stored by few digital memory cells, therefore, they requiring less area. In this work, it was implemented different memory cells together with few devices using floating gate MOS transistors and manufactured by a conventional CMOS technology. Differemt sort of programrning', data retention, and endurance were characterized as well as the main characteristics of the FGMOS (Floating Gate MOS) were obtained. The results of their characterization reveal that is possible to make and' to program fIoating gate MOSFETS analog memories and must serve as starting-point and reference for new academic studies / Mestrado / Eletrônica, Microeletrônica e Optoeletrônica / Mestre em Engenharia Elétrica
27

Desenvolvimento de sistemas e medida de ruído de alta e baixa frequência em dispositivos semicondutores / System for high and low frequency noise measurements design and semiconductor devices characterization

Manera, Leandro Tiago, 1977- 15 August 2018 (has links)
Orientador: Peter Jurgen Tatsch / Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica e de Computação / Made available in DSpace on 2018-08-15T23:27:09Z (GMT). No. of bitstreams: 1 Manera_LeandroTiago_D.pdf: 3739799 bytes, checksum: 12a6fc4ebbea20e529e4e7e2c7c5a761 (MD5) Previous issue date: 2010 / Resumo: Este trabalho teve como objetivo a montagem de um sistema de caracterização de ruído de alta e de baixa freqüência, utilizando equipamentos disponíveis no Centro de Componentes Semicondutores da Unicamp. Foi montado um sistema para a caracterização do ruído de baixa freqüência em dispositivos semicondutores e desenvolveu-se um método para a análise da qualidade de interfaces e cálculo de cargas, utilizando o ruído 1/f. Na descrição do ruído em baixa freqüência é apresentado em detalhes todo o arranjo utilizado para a medição, além dos resultados da medida em transistores nMOS e CMOS do tipo p e do tipo n fabricados no Centro. Detalhes importantes sobre o cuidado com a medição, tais como a utilização de baterias para a alimentação dos dispositivos e o correto aterramento, também são esclarecidos. A faixa de freqüência utilizada vai de 1 Hz até 100 KHz. Como aplicação, a medida de ruído é utilizada como ferramenta de diagnóstico de dispositivos semicondutores. Resultados destas medidas também são apresentados. Foi desenvolvido também um sistema para a medição do ruído em alta freqüência. A caracterização teve como objetivo determinar o parâmetro conhecido como Figura de Ruído. Apresenta-se além da descrição do arranjo utilizado na medição, os equipamentos e a metodologia empregada. Em conjunto com as medidas de ruído também são apresentados os resultados das medidas de parâmetros de espalhamento. Para a validação do método de obtenção desse conjunto de medidas, um modelo de pequenos sinais de um transistor HBT, incluindo as fontes de ruído é proposto, e é apresentado o resultado entre a medição e a simulação. A faixa disponível para medida vai de 45 MHz até 30 GHz para os parâmetros de espalhamento e de 10 MHz até 1.6 GHz para medida de figura de ruído / Abstract: The main goal of this work is the development of a noise characterization system for high and low frequency measurements using equipments available at the Center for Semiconductor Components at Unicamp. A low noise characterization system for semiconductors was built and by means of 1/f noise measurement it was possible to investigate semiconductor interface condition and oxide traps density. Detailed information about the test set-up is presented along with noise measurement data for nMOS, p and n type CMOS transistors. There is also valuable information to careful conduct noise measurements, as using battery powered devices and accurate grounding procedures. The low noise set-up frequency range is from 1 Hz up to 100 KHz. Noise as a diagnostic tool for quality and reliability of semiconductor devices is also presented. Measurement data is also shown. A measurement set-up for high frequency noise characterization was developed. Measurements were carried out in order to determine the noise figure parameter (NF) of the HBT devices. Comprehensive information about the test set-up and equipments are provided. Noise data measurements and s-parameters are also presented. In order to validate the measurement procedure, a small signal model for HBT transistor including noise sources is presented. Comparisons between simulation and measured data are performed. The s-parameters frequency range is from 45 MHz to 30 GHz, and noise set-up frequency range is from 10 MHz up to 1.6 GHz / Doutorado / Eletrônica, Microeletrônica e Optoeletrônica / Doutor em Engenharia Elétrica
28

Desenvolvimento de filmes finos de TiOx e ZnO para dispositivos ISFET e SAW / Development of thin titan in oxide and zinc oxide films for ISFET and SAW devices

Barros, Angélica Denardi de, 1982- 25 February 2013 (has links)
Orientador: José Alexandre Diniz / Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação / Made available in DSpace on 2018-08-22T13:21:22Z (GMT). No. of bitstreams: 1 Barros_AngelicaDenardide_D.pdf: 3021280 bytes, checksum: 8f60fdfa3cbdfc2f485daac1670de328 (MD5) Previous issue date: 2013 / Resumo: O objetivo deste trabalho é a obtenção e caracterização de filmes finos de óxido de titânio (TiOx) e de óxido de zinco (ZnO) para aplicações em sensores baseados em transistores de efeito de campo elétrico sensíveis a íons (Ion Sensitive Field Effect Transistor- ISFET) e de ondas acústicas de superfície (Surface Acoustic Waves - SAW), respectivamente. Desta forma, dois diferentes tipos de sensores foram obtidos. O primeiro é o sensor químico ISFET, cujos óxidos de porta foram os filmes de TiOx. Os filmes de Ti foram depositados sobre substrato de Si através do método de evaporação por feixe de elétrons, e, através do método de pulverização catódica (sputtering). Em seguida foram oxidados em forno térmico de processamento rápido (RTP). A caracterização estrutural ocorreu através de elipsometria, de microscopia de força atômica, de espectroscopia Raman, de difração de raios-X e de espectroscopia de absorção de raios-X próximo da borda, constatando a estrutura cristalina tetragonal referente à forma rutilo do TiO2. A caracterização elétrica da melhor amostra através da curva C-V demonstrou constante dielétrica igual a 8, densidade de estados na interface da ordem de 10-10eV-1.cm-2 e densidade de corrente da ordem de 10-4A/cm2. O MOSFET apresentou tensão Early da ordem de kV, e, resistência de saída da ordem de M?. Este dispositivo possui tensão de limiar igual a 0,30V, corrente de fuga da ordem de 10-8A e transcondutância igual a 12?S. O ISFET apresentou sensibilidade em corrente de 63?A/pH e sensibilidade em tensão equivalente a 64mV/pH, valor que encontra-se próximo do esperado de 59mV/pH (limite de Nernst). O segundo sensor é baseado em ondas acústicas de superfície. Esta tese se dedicou na integração deste sensor sobre substrato de Si, que não sendo piezelétrico impossibilita a geração de ondas acústicas. Como alternativa, o ZnO, que é piezelétrico, foi depositado sobre um filme fino de SiO2 sobre Si, tornando viável a confecção de dispositivos do tipo SAW e permitindo o estudo do transporte de cargas aprisionadas nos mínimos e máximos do potencial piezelétrico gerado pelo óxido de zinco sobre o Si. O filme de ZnO depositado por sputtering foi analisado por difração de raios-X apresentando orientação cristalina hexagonal na direção (0002). A onda acústica foi analisada através dos parâmetros de espalhamento de rede e por interferometria. Na interface SiO2/Si, onde ocorre o transporte acústico, o campo piezelétrico vale 0,56kV/cm. O valor da velocidade de propagação da onda acústica é igual a 4243m/s (obtida por simulação, considerando a frequência de ressonância dos IDTs igual a 750MHz, e o comprimento de onda acústico igual a 5,6?m). O transporte de pares elétrons-lacunas gerados por laser foi detectado na região de coleção de cargas da junção lateral p-i-n para distâncias superiores a 50?m e para valores de PRF entre -10dBm e 0dBm. Isto resultou na eficiência de coleção de pares em até 12% (laser sobre a junção), e de 3,5% com o laser 50?m distante da junção. O desenvolvimento destes sensores sobre substrato de Si permitirá a integração com circuitos de condicionamento de sinais fabricados em tecnologia CMOS / Abstract: The aim of this work is to obtain and characterize thin titanium oxide (TiOx) films and zinc oxide (ZnO) films for applications in sensors based on ion sensitive field effect transistors (ISFET) and surface acoustic waves (SAW), respectively. In this way, two different types of sensors were obtained. The first is the chemical sensor ISFET, with TiOx as gate oxides. Ti films were deposited on Si substrate by electron beam evaporation and sputtering. Then, the Ti films were oxidized in rapid thermal processing oven (RTP). The Structural characterization occurred through ellipsometry, atomic force microscopy, Raman spectroscopy, X-ray diffraction and x-ray absorption near edge spectroscopy, denoting the tetragonal crystal structure of the rutile form of TiO2. Electrical characterization of the best sample through the C-V curve showed dielectric constant equal to 8, interface states density in the order of 10-10eV-1.cm-2 and current density of the order of 10-4/cm2. The MOSFET presented Early voltage in the order of kV, and output resistance in order of M?. This device has threshold voltage equal to 0.30V, leakage current on the order of 10-8A and transconductance equal to 12?S. The ISFET presented current sensitivity equal to 63?A/pH and voltage sensitivity equivalent to 64mV/pH, which is close to the expected 59mV/pH determined by the Nernst limit. The second sensor is based on surface acoustic waves. This thesis was devoted to the integration of this sensor on the Si substrate, which is not piezoelectric and therefore doesn't allow the generation of acoustic waves. Alternatively, the ZnO which is piezoelectric, when deposited on a thin film of SiO2 on Si, make possible the manufacture of SAW devices and allows the study of carriers transport trapped in the minimum and maximum of the piezoelectric potential generated by the zinc oxide on Si. The ZnO film deposited by sputtering was analyzed by x-ray diffraction showing hexagonal crystalline orientation in the direction (0002). The acoustic wave was analyzed through the network analyzer (scattering parameters) and the interferometer. In SiO2/Si interface, where transport occurs, the piezoelectric field is 0, 56kV/cm. the value of the acoustic wave propagation speed is equal to 4243m/s (obtained by simulation, considering the IDTs resonance frequency equal to 750MHz and the acoustic wavelength equal to 5.6?m). The carrier transport of electrons-holes generated by the incidence of a laser was detected in the collection region of the lateral p-i-n junction for distances exceeding 50 ?m and PRF values between-10dBm and 0dBm. This resulted in a collection efficiency up to 12% (laser on the junction), and 3.5% when the laser was 50?m away from the junction. The development of these sensors on Si substrate will allow integration with signal conditioning circuits manufactured in CMOS technology / Doutorado / Eletrônica, Microeletrônica e Optoeletrônica / Doutora em Engenharia Elétrica
29

Estudo de transistores orgânicos por espectroscopia vibracional não linear e microscopia por modulação de carga / Study of organic transistors by nonlinear vibrational spectroscopy and charge modulation microscopy

Gomes, Douglas José Correia 13 April 2018 (has links)
Esta Tese aborda o estudo de transistores por efeito de campo orgânicos (OFETs do inglês, Organic Feld-Effect Transistors). Entender o comportamento da carga acumulada no canal do OFET, a qual é responsável pelo processo de condução elétrica no dispositivo, é de grande importância para ajudar a melhorar sua eficiência ou a propor um modelo teórico que descreva o comportamento do transistor em todos os seus regimes de operação. Vários trabalhos na literatura investigam o campo elétrico na camada semicondutora do transistor (ao longo do canal) gerado pela acumulação de cargas, porém nenhum investiga o campo na camada dielétrica de OFETs, que é diretamente proporcional à carga acumulada no canal. Investigou-se inicialmente o campo elétrico na camada dielétrica do dispositivo por meio da espectroscopia vibracional por Geração de Soma de Frequências (espectroscopia SFG do inglês, Sum-Frequency Generation). Espectros SFG obtidos nos dispositivos polarizados exibiram uma banda em ~1720 cm-1, devido ao grupo carbonila da camada dielétrica orgânica (PMMA – poli(metil metacrilato)), cuja a amplitude foi proporcional à voltagem de porta aplicada, indicando que esses grupos polares foram orientados sob ação do intenso campo elétrico no dispositivo. Esse sinal SFG induzido pelo campo pode ser devido a duas contribuições, um termo não linear de segunda ordem (devido à reorientação molecular) e outro de terceira ordem (interação entre os campos ópticos e o campo estático no volume do material). Observamos uma redução quase completa do sinal SFG em altas temperaturas (próximas da Tg do polímero dielétrico), indicando que o mecanismo de reorientação molecular é o responsável pelo sinal SFG gerado. Foram realizadas então medidas preliminares de microscopia SFG para mapear esse sinal SFG ao longo do canal de OFETs a base dos polímeros N2200 (semicondutor) e PMMA (dielétrico). Os resultados conseguem demonstrar a variação da densidade de carga acumulada no canal quando o dispositivo está polarizado e próximo à saturação. Usando Microscopia por Modulação de Carga (microscopia CMM do inglês, Charge Modulation Microscopy), que é outro método não invasivo para investigar a acumulação de cargas em um dispositivo operando, mapeamos a distribuição de carga no canal desses OFETs com alta resolução espacial (sub-micrométrica). Além disso, uma simulação da densidade de carga esperada e dos perfis de CMM foi realizada usando um modelo ambipolar para OFETs. Com base nessas simulações, propusemos uma modulação de onda quadrada do OFET, que permite uma comparação mais direta dos perfis de CMM com o perfil de densidade de carga ao longo do canal do transistor. Usando o esquema proposto, esses perfis foram medidos e comparados com o esperado com base no modelo ambipolar. Em geral os perfis de densidade de carga obtidos concordam bem com o modelo, usando apenas um único parâmetro global ajustável, exceto muito próximo do eletrodo de dreno e no regime de saturação profunda, quando os experimentos apresentam um artefato devido à eletro-absorção e não permitem uma comparação precisa com o modelo. Portanto, espera-se que esta Tese tenha contribuído para o avanço de técnicas de caracterização da distribuição de carga em OFETs, e assim melhorar o entendimento de seus mecanismos de funcionamento. / This Thesis deals with the study of Organic Field Effect Transistors (OFETs). Understanding the behavior of the accumulated charge along the OFET channel, which is responsible for the electrical conduction process in the device, is of great importance for improving its efficiency or proposing a theoretical model that describes the behavior of the transistor in all its operating regimes. Several studies in the literature investigate the electric field in the semiconductor layer of the transistor (along the channel) generated by the charge accumulation, but none investigates the field in the OFET dielectric layer, which is directly proportional to the charge accumulated in the channel. The electric field in the dielectric layer of the device was initially investigated by Sum-Frequency Generation (SFG) vibrational spectroscopy. SFG spectra obtained in the polarized devices exhibited a band at ~ 1720 cm-1, due to the carbonyl group of the organic dielectric layer (PMMA - poly (methyl methacrylate)), whose amplitude was proportional to the applied gate voltage, indicating that these polar groups were oriented by the intense electric field in the device. This field-induced SFG signal may be due to two contributions, a second order non-linear term (due to molecular reorientation) and a third order term (interaction between the optical fields and the static field in the material volume). We observed an almost complete reduction of the SFG signal at high temperatures (close to the Tg of the dielectric polymer), indicating that the molecular reorientation mechanism is responsible for the generated SFG signal. Preliminary SFG microscopy measurements were performed to map this SFG signal along the channel of OFET fabricated with N2200 (semiconductor) and PMMA (dielectric) polymers. The results demonstrate the variation of the accumulated charge density along the channel when the device is polarized and close to saturation. Using Charge Modulation Microscopy (CMM), which is another noninvasive method to investigate the accumulation of charges in an operating device, we mapped the charge distribution in the channel of these OFETs with high spatial resolution (sub-micrometer). In addition, a simulation of the expected charge density and CMM profiles was performed using an ambipolar model for OFETs. Based on these simulations, we proposed a square-wave modulation of the OFET, which allows a more direct comparison of the CMM profiles with the charge density profile. Using the proposed scheme, these profiles along the transistor channel were measured and compared with those expected from the ambipolar model. In general, the obtained charge density profiles agree well with the model, using only a single global adjustable parameter, except very close to the drain electrode and in the deep saturation regime, when the experiments have an artifact due to the electro-absorption and do not allow a precise comparison with the model. Therefore, it is expected that this Thesis has contributed to the advancement of techniques to characterize the charge distribution in OFETs, and thus improve the understanding of its operating mechanisms. Keywords: Field-effect transistors. Organic electronics. Nonlinear optics. Sum-frequency generation. Polarization of dielectrics. Charge modulation microscopy. Metal-insulator-semiconductor capacitor.
30

Espectroscopia não linear de interfaces aplicada ao estudo de transistores poliméricos / Nonlinear interface spectroscopy applied to the study of polymeric transistors

Motti, Silvia Genaro 20 March 2014 (has links)
O uso de materiais orgânicos em dispositivos eletrônicos, além de menor custo e facilidade de processamento, permite obter flexibilidade e transparência. Entretanto, para que a aplicação comercial desses materiais seja viável, os processos que ocorrem nos dispositivos ainda precisam ser mais bem compreendidos, visando maior eficiência e tempo de vida. É de grande importância o estudo das interfaces entre o semicondutor orgânico e os contatos metálicos, onde ocorre transferência de portadores de carga, e a interface com o dielétrico em transistores orgânicos (OFETs), onde se forma o canal de condução. As interfaces de dispositivos eletrônicos poliméricos foram estudadas, utilizando-se Espectroscopia SFG (do inglês Sum Frequency Generation). Esta técnica obtém um sinal com a soma das frequências de dois feixes incidentes sobrepostos, em um processo seletivo a meios onde não há simetria de inversão, como no caso de interfaces. Com aplicação de um feixe de excitação na região visível e outro sintonizável no infravermelho médio, a espectroscopia SFG fornece um espectro vibracional da interface e permite o estudo do ordenamento e da orientação dos grupos moleculares. Foram construídos e analisados OFETs de poli-3-hexiltiofeno (P3HT) preparados sobre substrato de vidro ou silício, utilizando como isolante óxido de silício e/ou poli-metil-metacrilato (PMMA). Foram obtidos espectros in situ do canal de OFETs em operação, observando pequenas alterações na forma de linha, porém a baixa relação sinal/ruído não permitiu obter conclusões detalhadas. Foi constatada a manifestação de bandas da camada isolante de PMMA como consequência da aplicação de campo elétrico. Este fenômeno foi considerado como uma nova ferramenta para estudar a distribuição de cargas e campo elétrico no canal de transistores. Não foram detectados sinais de degradação irreversível no polímero semicondutor a curto prazo, e a mudança de comportamento elétrico foi atribuída majoritariamente a dopagem por oxigênio absorvido no material. / The usage of organic materials in electronic devices allows not only low cost and ease of processing but also flexibility and transparency. However, to achieve viable commercial application, the processes involved on the devices operation must still be better comprehended, aiming for improved efficiency and life time. There is great importance in the study of the interfaces between organic semiconductors and metallic contacts, where charge transfer takes place, and between the dielectric and semiconductor layers of organic transistors (OFETs), where the conducting channel is formed. The interfaces in polymeric electronic devices were studied by SFG spectroscopy (Sum Frequency Generation). In this technique, a signal with frequency that equals the sum of those of two incident beams is generated in a process only allowed in media without inversion symmetry, such as interfaces. Using a visible excitation beam and a tunable infrared one, SFG spectroscopy yields a vibrational spectrum of the interface and provides information about the conformation and orientation of molecular groups. Poly-3-hexylthiophene (P3HT) OFETs were fabricated using glass or silicon substrates and silicon oxide and/or poly-methyl-methacrylate (PMMA) for the dielectric layer. SFG spectra were acquired in situ from the channel region of operating OFETs, observing small changes in lineshape, but low signal-to-noise ration did not allow a detailed interpretation. It was found that PMMA vibrational bands appeared when polarizing the device. This phenomenon was considered a new tool for studying the electric field and charge distribution along transistor channels. It was not noted any sign of short term irreversible degradation of the semiconducting polymer, and the change in the electrical behavior was attributed mainly to doping of the polymer by oxygen absorbed in the material.

Page generated in 0.1431 seconds