• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 52
  • 40
  • 16
  • 8
  • 8
  • 5
  • 3
  • 1
  • 1
  • 1
  • Tagged with
  • 142
  • 142
  • 42
  • 35
  • 33
  • 28
  • 28
  • 22
  • 19
  • 19
  • 19
  • 18
  • 17
  • 17
  • 17
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Fabrication and characteristics of nonvolatile memory with CoSi2 nanocrystals embedded in high-k dielectrics structure

Huang, Ching-Che 25 June 2009 (has links)
Current requirements of nonvolatile memory (NVM) are the high density cells, low-power consumption, high-speed operation and good reliability for the scaling down devices. However, all of the charges stored in the floating gate will leak into the substrate if the tunnel oxide has a leakage path in the conventional NVM during endurance test. Therefore, the tunnel oxide thickness is difficult to scale down in terms of charge retention and endurance characteristics. The nonvolatile nanocrystal memories are one of promising candidates to substitute for conventional floating gate memory, because the discrete storage nodes as the charge storage media have been effectively improve data retention under endurance test for the scaling down device. Many methods have been developed recently for the formation of nanocrystal. Generally, most methods need thermal treatment with high temperature and long duration. This procedure will influence thermal budget and throughput in current manufacture technology of semiconductor industry. In this thesis, we used the three kind of high-k dielectric structure as the tunnel oxide (Al2O3, HfO2/Al2O3/HfO2, Al2O3/HfO2/Al2O3) to overcome the limitation of conventional NVMs during the scaling down process. First, we used Al2O3 as tunnel oxide. It observed that device of Al2O3 as tunnel oxide reduce equivalent thickness without lost retention too much. Then, we used HfO2/Al2O3/HfO2 as tunnel oxide. It observed the device of HfO2/Al2O3/HfO2 as tunnel oxide which had bigger window than the device used thermal oxide as tunnel oxide. Moreover it had better retention characteristics than the device used thermal oxide as tunnel oxide with a small charge lose rate. And it reduced equivalent thickness of SiO2.Final, we used Al2O3/HfO2/Al2O3 as tunnel oxide. It observed the device of Al2O3/HfO2/Al2O3 as tunnel oxide which had better retention characteristics than the device used HfO2/Al2O3/HfO2 as tunnel oxide without decrease the electron and hole injection. And we reduce equivalent thickness of SiO2 .
2

Material and array design for CMUT based volumetric intravascular and intracardiac ultrasound imaging

Xu, Toby Ge 27 May 2016 (has links)
Recent advances in medical imaging have greatly improved the success of cardiovascular and intracardiac interventions. This research aims to improve capacitive micromachined ultrasonic transducers (CMUT) based imaging catheters for intravascular ultrasound (IVUS) and intra-cardiac echocardiography (ICE) for 3-D volumetric imaging through integration of high-k thin film material into the CMUT fabrication and array design. CMUT-on-CMOS integration has been recently achieved and initial imaging of ex-vivo samples with adequate dynamic range for IVUS at 20MHz has been demonstrated; however, for imaging in the heart, higher sensitivities are needed for imaging up to 4-5 cm depth at 20MHz and deeper at 10MHz. Consequently, one research goal is to design 10-20MHz CMUT arrays using integrated circuit (IC) compatible micro fabrication techniques and optimizing transducer performance through high-k dielectrics such as hafnium oxide (HfO2). This thin film material is electrically characterized for its dielectric properties and thermal mechanical stress is measured. Experiments on test CMUTs show a +6dB improvement in receive (Rx) sensitivity, and +6dB improvement in transmit sensitivity in (Pa/V) as compared to a CMUT using silicon nitride isolation (SixNy) layer. CMUT-on-CMOS with HfO2 insulation is successfully integrated and images of a pig-artery was successfully obtained with a 40dB dynamic range for 1x1cm2 planes. Experimental demonstration of side looking capability of single chip CMUT on CMOS system based FL dual ring arrays supported by large signal and FEA simulations was presented. The experimental results which are in agreement with simulations show promising results for the viability of using FL-IVUS CMUT-on-CMOS device with dual mode side-forward looking imaging. Three dimensional images were obtained by the CMUT-on-CMOS array for both a front facing wire and 4 wires that are placed perpendicular to the array surface and ~4 mm away laterally. For a novel array design, a dual gap, dual frequency 2D array was designed, fabricated and verified against the large signal model for CMUTs. Three different CMUT element geometries (2 receive, 1 transmit) were designed to achieve ~20MHz and ~40MHz bands respectively in pulse-echo mode. A system level framework for designing CMUT arrays was described that include effects from imaging design requirements, acoustical cross-talk, bandwidths, signal-to-noise (SNR) optimization and considerations from IC limitations for pulse voltage. Electrical impedance measurements and hydrophone measurements comparisons between design and experiment show differences due to inaccuracies in using SixNy homogenous material in simulation compared to fabricated thin-film stacks (HfO2-AlSi-SixNy). It is concluded that for “thin” membranes the effect of stiffness and mass of HfO2 and AlSi (top electrode) cannot be ignored in the simulation. Also, it is understood that aspect ratio (width to height) <10 will have up to 15% error for center frequency predicted in air when the thin-plate approximation is used for modelling the bending stiffness of the CMUT membrane.
3

Nanocrystals Embedded Zirconium-doped Hafnium Oxide High-k Gate Dielectric Films

Lin, Chen-Han 2011 August 1900 (has links)
Nanocrystals embedded zirconium-doped hafnium oxide (ZrHfO) high-k gate dielectric films have been studied for the applications of the future metal oxide semiconductor field effect transistor (MOSFET) and nonvolatile memory. ZrHfO has excellent gate dielectric properties and can be prepared into MOS structure with a low equivalent oxide thickness (EOT). Ruthenium (Ru) modification effects on the ZrHfO high-k MOS capacitor have been investigated. The bulk and interfacial properties changed with the inclusion of Ru nanoparticles. The permittivity of the ZrHfO film was increased while the energy depth of traps involved in the current transport was lowered. However, the barrier height of titanium nitride (TiN)/ZrHfO was not affected by the Ru nanoparticles. These results can be important to the novel metal gate/high-k/Si MOS structure. The Ru-modified ZrHfO gate dielectric film showed a large breakdown voltage and a long lifetime. The conventional polycrystalline Si (poly-Si) charge trapping layer can be replaced by the novel floating gate structure composed of discrete nanodots embedded in the high-k film. By replacing the SiO2 layer with the ZrHfO film, promising memory functions, e.g., low programming voltage and long charge retention time, can be expected. In this study, the ZrHfO high-k MOS capacitors that separately contain nanocrystalline ruthenium oxide (nc-RuO), indium tin oxide (nc-ITO), and zinc oxide (nc-ZnO) have been successfully fabricated by the sputtering deposition method followed with the rapid thermal annealing process. Material and electrical properties of these kinds of memory devices have been investigated using analysis tools such as XPS, XRD, and HRTEM; electrical characterizations such as C-V, J-V, CVS, and frequency-dependent measurements. All capacitors showed an obvious memory window contributed by the charge trapping effect. The formation of the interface at the nc-RuO/ZrHfO and nc-ITO/ZrHfO contact regions was confirmed by the XPS spectra. Charges were deeply trapped to the bulk nanocrystal sites. However, a portion of holes were loosely trapped at the nanocrystal/ZrHfO interface. Charges trapped to the different sites lead to different detrapping characteristics. For further improving the memory functions, the dual-layer nc-ITO and -ZnO embedded ZrHfO gate dielectric stacks have been fabricated. The dual-layer embedded structure contains two vertically-separated nanocrystal layers with a higher density than the single-layer embedded structure. The critical memory functions, e.g., memory window, programming efficiency, and charge retention can be improved by using the dual-layer nanocrystals embedded floating gate structure. This kind of gate dielectric stack is vital for the next-generation nonvolatile memory applications.
4

Electrical Properties and Physical Mechanisms of Advanced MOSFETs

Kuo, Yuan-Jui 20 December 2010 (has links)
In this thesis, we investigate the electrical properties and reliability of novel metal-oxide-semiconductor field-effect transistors (MOSFETs) for 65 nm technology node and below. Roughly, we divide the thesis into two parts, strained-silicon channel engineering and high-k/metal gate stacks respectively. Firstly, to study the influence of stress on carrier transport properties, we proposed an approach to get uniaxial compressive/tensile stress from the channel by bending silicon substrate to enhance device performance. By applying uniaxial longitudinal tensile/compressive stress, the drain current and mobility were found to increase obviously in n/p-type MOSFETs, respectively. The enhancement can be attributed to the reduction of effective transport mass and to the suppression of inter-valley scattering. However, we found that the external mechanical stress aggravated hot carrier effects in n-type MOSFETs. Therefore, in n-type MOSFETs, the behaviors of the substrate current and the impact ionization rate under mechanical stress are investigated. It was found that the substrate current and gate voltage corresponding to the maximum impact ionization current has significantly increased by increasing external mechanical stress. According to the relationship to the strain-induced mobility enhancement, the increase in impact ionization efficiency resulted from the decrease in threshold energy for impact ionization which was due to the narrowing of the band gap. In p-type MOSFETs, the reliability issue, named negative bias temperature instability, is the dominant degradation mechanism during ON-state operation. Therefore, we investigate the NBTI characteristics of strained p-type MOSFETs with external uniaxial tensile/compressive stress. The results indicate that uniaxial compressive stress not only enhances drive current but also reduces NBTI degradation. On the contrary, uniaxial tensile stress leads to a significant degradation in both of drive current and NBTI behavior. The observed Cgc-Vg curve shows the inversion capacitance is strongly dependent on mechanical strain, meaning that the probability of electrochemical reaction decreases/or increases due to the changes in inversion carrier density according to the Nit generation rate of the reaction-diffusion model. Moreover, the charge pumping result is also consistent with the threshold voltage shift of the strained device, which means the degradation is mainly due to trap generation at the Si/SiO2 interface. In addition, to investigate the influences of biaxial compressive stress on p-MOSFETs, we attempts to combine intrinsic and external mechanical stress. It was found that drain current and hole mobility of p-type MOSFET with Si1-xGex raised Source/Drain and external applied mechanical stress significantly decreased due to the increase of effective conductive mass at room temperature. However, this phenomenon was inverted above 363K. Because hole can gain enough thermal energy to transit to higher energy level by inter-valley scattering, its transport mechanism was dominated by lower effective mass at higher energy level. Besides, the model is also evidenced that the mobility degradation under biaxial compressive stress becomes aggravated while temperature decreases from 300 K to 100 K, which is mainly due to the increase of the ratio of carriers occupied in lowest band. On the other hand, the SiO2 dielectric and poly-gate are unsuitable for CMOS application below 65 nm technology node due to unacceptable gate leakage current. Therefore, in the second section of this thesis, we established the electrical characteristics and physical mechanisms of MOSFETs with HfO2 dielectric/TiN gate by analyzing experimental data from charge pumping, split C-V, DC Id-Vg, and pulse Id-Vg. It is found that the threshold voltage (Vth) has a significant decrease as titanium increases in metal gate for n-MOSFETs, whereas the Vth increases in p-MOSFETs. By examining flat band voltage, we found the Vth shift was resulted from metal gate work function (£pm) which became smaller as titanium increased in metal gate. In addition,the dependence of effective mobility on temperature from 100K to 300K was entirely analyzed, which indicated HfO2 remote phonon scattering as the dominant cause of the mobility degradation in n- and p-type MOSFETs when titanium decreased. However, the gate leakage current is also strongly dependent on the nitrogen in metal gate. It is proved that the nitrogen can assivate the traps in HfO2 by pulse I-V,leading to the decrease in gate leakage dominated by Frenkel- Poole mechanism.
5

Investigation of Charge Trapping Characteristic and Reliability Issues for High-k/Metal gate MOSFETs

Shih, Jou-Miao 13 July 2011 (has links)
Electronic devices such as high power devices, microprocessors and memories in integrated circuit are primarily composed of metal-oxide-semiconductor field effect transistors (MOSFETs), due to the advantages of low cost, low power consumption and easy to scale down. However, the aggressively scaled conventional MOS devices have suffered remarkable short channel effects such as drain induced barrier lowering, punch-through, and direct-tunneling gate leakage. These problems not only lower the gate controllability but also increase the standby power consumption. Because the SiO2 dielectric and poly-gate are improper for CMOS application below 45 nm technology node due to the critical gate leakage current. Therefore, we investigate the electrical characteristics and physical mechanisms of MOSFETs with HfO2/TixN1-x gate stacks by using split C-V, pulsed Id-Vg, and charge-pumping techniques. The experimental results indicate that dynamic stress is more serious than static stress, and hot-carrier effect corresponding to different gate stress biases demonstrate distinct dominant degradation behaviors and the charge-trapping phenomenon. Furthermore, different concentration of titanium in TiN metal gate significantly affect device characteristics associated with the amount of nitrogen diffusion from the metal gate to high-k bulk and the SiO2/Si interface layer.
6

Investigation on the Electrical Analysis and Reliability Issues in Advanced SOI and High-k/Metal Gate MOSFETs

Dai, Chih-Hao 26 July 2011 (has links)
For the high performance integrated circuits applications such as microprocessors, memories and high power devices, the metal-oxide-semiconductor field effect transistors (MOSFETs) is the most important device due to its low cost, power consumption and scalable property especially. However, the aggressive scaling of conventional MOS devices suffered from noticeable short channel effects such as drain induction barrier lower, punch through, and direct tunneling gate leakage. Those problems not only lower the gate control ability but also increase the standby power consumption. For future VLSI devises below 65 nm regimes, silicon-on-insulator (SOI) and high-k/metal gate MOSFETs are considered to be possible candidates because of faster operation speed and lower power consumption. Therefore, this dissertation investigates the electrical characteristics and reliability issues of novel MOSFETs for 65 nm and below technology. It is roughly divided into two parts, partially depleted (PD) SOI MOSFETs and high-k/metal gate stack MOSFETs, respectively. In the first part, we systematically investigate the mechanism of gate-induced floating body effect (GIFBE) for advanced PD SOI n-MOSFETs. Based on different operation conditions, it was found that the dominant mechanism can be attributed to the anode hole injection (AHI) rather than the widely accepted mechanism of electron-valence band (EVB) tunneling. Analyzing the GIFBE in different temperature provides further evidence that the accumulation of holes in the body results from the AHI induced direct tunneling current from the poly-Si gate. In addition, we proposed an approach by bending silicon substrate to further study the impact of mechanical strain on GIFBE. The experimental result indicates that the strain effect indeed decreases the gate leakage current, but increases the hole-valence band (HVB) tunneling current, which indicates that GIFBE becomes serious under mechanical strain. Based on our proposed AHI model, this phenomenon can be mainly due to strain-induced band gap narrowing in the poly-Si gate. In p-type MOSFETs, the reliability issue, named negative bias temperature instability (NBTI), is the dominant degradation mechanism during ON-state operation. Therefore, we also investigate the GIFBE on NBTI degradation for PD SOI p-MOSFETs. The experimental results indicate GIFBE causes a reduction in the electrical oxide field, leading to an underestimate of NBTI degradation. This can be partially attributed to the electrons tunneling from the process-induced partial n+ poly gate. However, based on different operation conditions, we found the dominant origin of electrons was strongly dependent on holes in the inversion layer under source/drain grounding. Therefore, we propose the anode electron injection (AEI) model, similar to anode hole injection model, to explain how this main electron origin is generated during the NBTI stress. Finally, based on our proposed model, we further study influence of mechanical strain on GIFBE for SOI p-MOSFETs. On the other hand, the SiO2 dielectric and poly-gate are unsuitable for CMOS application below 45 nm technology node due to unacceptable gate leakage current. Therefore, in the second part of this thesis, we investigate the electrical characteristics and physical mechanisms for MOSFETs with HfO2/TixN1-x stacks by using split C-V, DC Id-Vg, and charge pumping techniques. The experimental results indicates that different ratio of Ti strongly affect various parameters, including threshold voltage, mobility, and subthreshold swing, respectively. In addition, the gate leakage current is also strongly dependent on the nitrogen in metal gate. By charge pumping technique, it was found that with increasing Ti concentration of metal gate, there is a trade-off relationship among the interface traps and bulk defects of high-k dielectric. This phenomenon is associated with the amount of nitride diffusion from the metal gate to high-k bulk and SiO2/Si interface layer. In the aspects of reliability, charge trapping in high-k gate stacks remains an important issue since it causes the threshold voltage (Vth) shift and drive current degradation. This phenomenon can be attributed to a large number of pre-existing traps in the high-k dielectric layer. In real circuit operation, the devices are generally operated in the dynamic condition. Therefore, the following study further investigates Vth instability of Hf-based n-MOSFETs under the dynamic bias operation. The static condition was also performed on the identical device for a comparison. The results indicate threshold voltage (Vth) instability under dynamic stress is more serious than that under static stress, owning to transient charge trapping within high-k dielectric. In addition, the Vth shift clearly increases with an increase in dynamic stress operation frequency. According to these experimental results, we propose a possible physical model for electron trapping phenomena under dynamic stress. Based on our proposed model, we further dynamic stress induced charge trapping characteristics for devices with different Ti1-xNx composition of metal-gate electrodes. In addition, we further respectively investigates the temperature dependence of dynamic positive bias stress (PBS) and negative bias stress (NBS) degradation in n-type and p-type MOSFETs with high-k/metal gate stacks. The experimental results indicate there is a contrary trend in temperature dependence of Vth shifts for n- and p-MOSFETs under dynamic PBS and NBS, respectively. The Vth shift decreases with increasing temperature for n-MOSFETs under dynamic PBS. This is due to the thermal emission of trapped electrons in high temperature, leading to the reduction in. A contrary trend with temperature for p-MOSFETs under dynamic NBS can be attributed to the interface trap generation induced by NBTI. On the other hand, hot carrier effect in high-k/metal gate n-MOSFETs was still one of major device reliability concern in device scaling. However, the stress-induced drain leakage current degradation in device with high-k/metal gate stacks has not received as much attention. In fact, the GIDL behavior is associated with phenomenon of charge trapping in high-k dielectric layer. Therefore, the final study is to investigate the effects of channel hot carrier stress (CHCS) on the gate-induced drain leakage current (GIDL) for n-MOSFETs with HfO2/Ti1-xNx gate stacks. It was found that the behavior of GIDL current during CHCS has dependence with the interfacial layer (IL) oxide thickness of high-k/metal gate stacks. As IL thickness becomes thinner, the GIDL current has a gradual decrease during CHCS, which is contrary to the result of thick-oxide IL devices. Based on the variation of GIDL current in different stress voltage across gate and drain terminals, trap-assisted band to band holes injection model was proposed to explain the different behavior of GIDL current for different IL thickness. Furthermore, we also investigated the impact of different Ti1-xNx composition of metal gate electrode on the IGIDL after CHCS, and observed that the magnitude of IGIDL decreases with the increase of nitride ratio. This is due to the fact that nitride atoms diffusing from the metal gate fill up oxygen vacancies, and reduce the concentration of traps in high-k dielectric.
7

Improvement on low-temperature deposited high-k materials by high-pressure treatment

Su, Hsuan-Hsiang 08 October 2008 (has links)
In this study, high-pressure oxygen (O2 and O3) technologies were employed originally to effectively improve the properties of low-temperature-deposited metal oxide dielectric films. In this work, 5 nm ultra-thin HfO2 and ZrO2 films were deposited by sputtering method at room temperature. Then, the low temperature high-pressure oxygen treatments at 150 ¢XC were used to replace the conventional high temperature annealing for HfO2 and ZrO2 improvement. From the experimental results, O3 produced by UV light illumination in O2 ambient has the superior passivation ability than O2, and it can further suppress leakage current density and improve capacitance characteristics. According to the XPS analyses, the absorption peaks of Hf-O and Zr-O bonding energies apparently raise and the quantity of oxygen in HfO2 and ZrO2 film also increases from XPS measurement. In addition, both the leakage current density of 5nm HfO2 and ZrO2 film can be improved to 10-8 A/cm2 at |Vg| = 3 V, and the conduction mechanisms were transferred from trap-assisted tunneling to thermal emission because of the significantly reduction of defects. All the experiment processes in this study, the temperatures were controlled below 150 ¢XC. The proposed low-temperature and high pressure O2 or O3 treatment for improving high-k dielectric films is novel and applicable for the future flexible electronics.
8

Investigation of electrical and material characteristics of high-k / III-V MOS devices and SiOx ReRAMs

Wang, Yanzhen 05 November 2013 (has links)
In the past few decades, Si-based CMOS technology is approaching to its physical quantum limit by scaling down the gate length and gate oxide thickness to achieve higher drive current for low power and high speed application. High k/III-V stack provides an alternative solution because III-V based metal-oxide-semiconductor (MOS) devices have higher drive current due to the higher electron mobility than silicon. Also high k oxides lower the gate leakage current significantly due to larger thickness under the same equivalent oxide thickness (EOT) compared with SiO₂ beyond the 22 nm node. The main obstacle for high k/III-V based MOSFETs is the lack of high quality, thermodynamically stable insulators that passivate the interface, which is also the main driving force in the research area of high k/III-V stack. One of the main focuses of this dissertation is developing a fabrication process flow to lower the interface trap density to enhance the performance of MOSFETs with high k oxides on III-V substrates. Also, an emerging memory device with SiO[subscript x] is also developed. This device can be electrically switched between a high-resistance state (HRS, or OFF-state) and a low-resistance state (LRS, or ON-state). Also it shows high potential for next generation nonvolatile memories due to its small cell area, fast write/erase time, low write voltage, good endurance and scalability. The other main focuses of this dissertation is studying the electroforming, set/reset voltages and passivation issue in this resistive random access memory (RRAM or ReRAM). The first part of this dissertation is about lowering the interface trap density of high k/III-V stack by using a thin layer of Al₂O₃ or LaAlO₃. ALD Al₂O₃/HfO₂ bi-layer gate oxide with different Al₂O₃ thickness (0, 5, 10Å) was deposited. Also ALD LaAlO₃/HfO₂ bi-layer gate oxide with different LaAlO₃ thickness (0, 5, 10, 20, 30, 42Å) was deposited. The total EOT of the bi-layer was maintained at ~1.8nm. Also single La[subscript x]Al[subscript 1-X]O (X =0.25, 0.33, 0.5, 0.66, 0.75) gate dielectric with different La doping level was deposited (EOT=2.5±0.4nm). Device characteristics are compared by using different thickness of interfacial layer. The second part of this dissertation is about F incorporation into high k oxide by using SF₆ plasma. The effect of SF₆ plasma treatment of HfO₂ on III-V substrates is demonstrated. Also effect of different plasma power and different treatment time of SF₆ plasma is studied to optimize plasma conditions. High k bilayer (Al₂O₃/HfO₂) is also used to further improve the device performance by better interface passivation with Al₂O₃. HfO₂ gate oxide dielectric is also engineered using SF₆ plasma treatment to incorporate more F. The third part is a study of III-V tunneling FET using In[subscript 0.7]Ga[subscript 0.3]As p-n junction. The device performance with different n doping concentration is compared. Higher n doping concentration will increase the drive current by reducing the tunneling width while too higher n doping concentration results in tunneling in the middle of p-n junction and significantly increase the subthreshold swing. The forth part is the electroforming, set/reset and passivation study of ReRAM device with SiO[subscript x]. Different methods to reduce the electroforming voltage are developed. Set/reset process is also studied and a possible model is proposed to explain the set/ reset process. A new device structure without sidewall edge is studied for passivation and application in air. The final part is the summary of Ph.D work and also suggestions for future work are discussed. / text
9

Nonlinear optical characterization of advanced electronic materials

Lei, Ming, active 2012 18 November 2013 (has links)
Continuous downscaling of transistor size has been the major trend of the semiconductor industry for the past half century. In recent years, however, fundamental physical limits to continued downscaling were encountered. In order to overcome these limits, the industry experimented --- and continues to experiment --- with many new materials and architectures. Non-invasive, in-line methods of characterizing critical properties of these structures are in demand. This dissertation develops optical second-harmonic generation (SHG) to characterize performance-limiting defects, band alignment or strain distribution in four advanced electronic material systems of current interest: (1) Hot carrier injection (HCI) is a key determinant of the reliability of ultrathin silicon-on-insulator (SOI) devices. We show that time-dependent electrostatic-field-induced SHG probes HCI from SOI films into both native and buried oxides without device fabrication. (2) Band offsets between advanced high-k gate dielectrics and their substrates govern performance-limiting leakage currents, and elucidate interfacial bond structure. We evaluate band offsets of as-deposited and annealed Al₂O₃, HfO₂ and BeO films with Si using internal photoemission techniques. (3) Epi-GaAs films grown on Si combine the high carrier mobility and superior optical properties of III-V semiconductors with the established Si platform, but are susceptible to formation of anti-phase boundary (APB) defects. We show that SHG in reflection from APB-laden epi-films is dramatically weaker than from control layers without APBs. Moreover, scanning SHG images of APB-rich layers reveal microstructure lacking in APB-free layers. These findings are attributed to the reversal in sign of the second-order nonlinear optical susceptibility [chi]⁽²⁾ between neighboring anti-phase domains, and demonstrate that SHG characterizes APBs sensitively, selectively and non-invasively. (4) 3D integration --- i.e. connecting vertically stacked chips with metal through-Si-vias (TSVs) --- is an important new approach for improving performance at the inter-chip level, but thermal stress of the TSVs on surrounding Si can compromise reliability. We present scanning SHG images for different polarization combinations and azimuthal orientations that reveal the sensitivity of SHG to strain fields surrounding TSVs. Taken together, these results demonstrate that SHG can identify performance-limiting defects and important material properties quickly and non-invasively for advanced MOSFET device applications. / text
10

Analyse par XPS d'empilements High-K Metal Gate de transistors CMOS et corrélation des décalages d'énergie de liaison aux tensions de seuil / XPs analysis of High K Metal Gate transistors and relationship between binding energy shift and threshold voltage

Fontaine, Charly 07 March 2019 (has links)
Les dernières technologies microélectroniques embarquent des transistors dont les isolants de grille sont des isolants à forte constante diélectrique (high-k en anglais) associés à des grilles métalliques (on utilise l'abréviation HKMG pour high-k – metal gate). Si cet empilement permet de garder une quantité de charges suffisante dans le canal, il est plus difficile de contrôler les tensions de seuil des transistors à cause de la présence de charges et de dipôle dans ces couches ou aux interfaces. Deux études préliminaires ont établi qu'il existe une corrélation entre les énergies de liaisons des éléments mesurées par XPS d'un empilement HKMG et la tension de seuil d'un transistor utilisant ce même empilement. Des charges sont présentes dans les couches isolantes des empilements HKMG, conduisant à un décalage du potentiel électrostatique au sein de ces couches. Ceci induit une modification du travail de sortie effectif de l'électrode métallique du transistor. Et en XPS ces charges induisent une variation de l'énergie cinétique des électrons extraits des couches se trouvant sous ces charges. L'objectif de cette thèse est de simuler de manière quantitative l'impact électrostatique induit par ces charges et dipôles et de comparer cet impact aux décalages des raies XPS ainsi qu'aux mesures électriques des tensions de seuil des transistors. Ceci permettra ensuite d'estimer la variation des tensions seuil des transistors très en amont dans le procédé de fabrication / The last microelectronic technologies includes transistors with materials of high dielectric constant (high-k ) associated to metal gate (we use the abbreviation HKMG for high-k - bad metal). If this pile allows to keep a sufficient quantity of charges in the channel, it is more difficult to check the threshold voltage of transistors because of the presence of charge and of dipole in these layers or in the interfaces. Two preliminary studies established that there is a correlation between the binding energies measured by XPS of a pile HKMG and the threshold voltage of a transistor using the same pile. Charges are present in the insulating layers of piles HKMG, leading to a difference of the electrostatic potential within these layers. A modification of the effective workfunction of the metallic electrode of the transistor in s then observed, and in XPS these charges lead t oa variation of the kinetic energy of electrons extracted from the layer. The purpose of this thesis is simulate in a quantitative way the electrostatic impact of this charges and dipôles and to compare this impact with the observation made by XPS as well as with the electric measures of the threshold voltage of transistors. This will then allow to estimate the variation of the threshold voltage of transistors well further in the manufacturing process.

Page generated in 0.034 seconds