• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 10
  • 9
  • 3
  • 3
  • 2
  • 2
  • 1
  • 1
  • 1
  • Tagged with
  • 39
  • 8
  • 6
  • 6
  • 5
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
21

Investigating Moisture Gradient-Induced Warpage of Veneers

Strong, Kerrigan Ann 02 September 2021 (has links)
Flatness of wood composite panels, such as Laminated Veneer Lumber, is often difficult to control during the manufacturing process. Out-of-plane deformation, or warpage, of wood veneers caused by changes in moisture content affects the ability to press flat panels. To understand wood panel warpage, experimental methods are developed to create and measure moisture-induced deformation of wood veneers on five species of various thicknesses. Three moisture induction methods are investigated and evaluated to determine the increase in moisture content. Experiments are developed to produce moisture gradients of two concentrations in the veneers to examine the effect on warpage behavior. Additionally, the surface area of applied moisture and veneer thickness is also investigated. Three-dimensional scanning technology is used to measure warpage of veneers. A procedure using a structured-light scanner is developed to analyze the surface curvatures to observe the effect of moisture-induced warpage. After moisture-induction treatment of the veneer, surface deformation data is measured using the scanner and the data is converted into a 3D solid body model that is used for curvature comb analysis. The results show that curvature comb analysis can be used to analyze the geometry of moisture-induce warpage. The method can be used to analyze the effect of moisture gradient variables on warpage behavior including concentration, veneer thickness, and surface area. The experimental methods developed can be used by future researchers to validate theoretical warpage prediction models. / Master of Science / Flatness of wood composite panels, such as Laminated Veneer Lumber, is often difficult to control during the manufacturing process. Warpage of wood veneers is caused by changes in moisture content affecting manufacturers' ability to press flat panels. To understand wood panel warpage, experimental methods are developed to create and measure moisture-induced warpage of wood veneers on five species of various thicknesses. Three moisture induction methods are investigated and evaluated to determine the increase in moisture content. Experiments are developed to produce moisture gradients of two concentrations in the veneers to examine the effect on warpage behavior. Additionally, the surface area of applied moisture and veneer thickness is also investigated. Three-dimensional scanning technology is used to measure warpage of veneers. A procedure is developed to analyze the surface curvatures to observe the effect of moisture-induced warpage. After moisture-induction treatment of the veneer, surface deformation data is measured and converted into a 3D solid body model that is used to analyze curvature. The results show that moisture induction methods used to induce warpage can experience different geometries to analyze a veneer's curvature. The methods can be used to analyze warpage behavior of veneers by future researchers to validate warpage prediction model.
22

THREE-DIMENSIONAL NON-CONTACT SURFACE PROFILERS FOR SEMICONDUCTOR IC PACKAGE INSPECTION

Nakazawa, Takeshi January 2011 (has links)
The subject of this dissertation is the development of three-dimensional (3D) surface profilers for semiconductor back-end inspection. The value of this study is: 1) to provide a new phase-to-height relationship for Fourier Transform Profilometry (FTP) that is universal as it allows alternate FTP system architectures for a micrometer scale object measurement, and 2) to provide a new method for full field substrate warpage and ball grid array (BGA) coplanarity inspection using machine vision. The desire to increase electronic device performance has resulted in denser and smaller IC packaging. As the dimensions of the devices decrease, the requirements for substrate flatness and surface quality become critical in avoiding device failure. For a high yield production, there is an increasing demand in the requirement for the dimensional verification of height, which requires 3D inspection. Based on the current demands from the semiconductor industry, this dissertation addresses the development of fast in-line surface profilers for large volume IC package inspection. Specifically, this dissertation studies two noncontact surface profilers. The first profiler is based on FTP for measuring the IC package front surface, the silicon die and the epoxy underfill profile. The second profiler is based on stereovision and it is intended for inspecting the BGA coplanarity and the substrate warpage. A geometrical shape based matching algorithm is also developed for finding point correspondences between IC package images. The FTP profiler provides a 1 σRMS error of about 4 μm for an IC package sample in an area of 14 mm x 6.5 mm with a 0.13 second data acquisition time. For evaluating the performance of the stereovision system, the linearity between our system and a confocal microscope is studied by measuring a particular IC sample with an area of 38 mm x 28.5 mm. The correlation coefficient is 0.965 and the 2σdifference in the two methods is 26.9 μm for the warpage measurement. For BGA coplanarity inspection the correlation coefficient is 0.952 and the 2difference is 31.2 μm. Data acquisition takes about 0.2 seconds for full field measurements.
23

Experimental and theoretical assessment of thin glass panels as interposers for microelectronic packages

McCann, Scott R. 22 May 2014 (has links)
As the microelectronic industry moves toward stacking of dies to achieve greater performance and smaller footprint, there are several reliability concerns when assembling the stacked dies on current organic substrates. These concerns include excessive warpage, interconnect cracking, die cracking, and others. Silicon interposers are being developed to assemble the stacked dies, and then the silicon interposers are assembled on organic substrates. Although such an approach could address stacked-die to interposer reliability concerns, there are still reliability concerns between the silicon interposer and the organic substrate. This work examines the use of diced glass panel as an interposer, as glass provides intermediate coefficient of thermal expansion between silicon and organics, good mechanical rigidity, large-area panel processing for low cost, planarity, and better electrical properties. However, glass is brittle and low in thermal conductivity, and there is very little work in existing literature to examine glass as a potential interposer material. Starting with a 150 x 150 mm glass panel with a thickness of 100 µm, this work has built alternating layers of dielectric and copper on both sides of the panel. The panels have gone through typical cleanroom processes such as lithography, electroplating, etc. Upon fabrication, the panels are diced into individual substrates of 25 x 25 mm and a 10 x 10 mm flip chip with a solder bump pitch of 75 um is then reflow attached to the glass substrate followed by underfill dispensing and curing. The warpage of the flip-chip assembly is measured. In parallel to the experiments, numerical models have been developed. These models account for viscoplastic behavior of the solder. The models also mimic material addition and etching through element “birth-and-death” approach. The warpage from the models has been compared against experimental measurements for glass substrates with flip chip assembly. It is seen that the glass substrates provide significantly lower warpage compared to organic substrates, and thus could be a potential candidate for future 3D systems.
24

Estudo experimental do processo de rotomoldagem: efeitos sobre a morfologia e estabilidade dimensional / Experimental study of rotational moulding process: effect on the morphology and dimensional stability

Comisso, Tiago Boni 03 October 2011 (has links)
Made available in DSpace on 2017-07-21T20:42:45Z (GMT). No. of bitstreams: 1 Tiago Boni Comisso.pdf: 10111078 bytes, checksum: 8216bf27a8a3e1b801b4d8d101212fd8 (MD5) Previous issue date: 2011-10-03 / In the present study the warpage of rotationally molded parts of a linear low density polyethylene (LLDPE) was investigated. The effect of different processing factors as part thickness, cooling condition and diameter of the venting tube was evaluated. In addition to the rotational molding experiments, an alternative experimental technique, denominated “Hot Press”, was also applied to investigate the warpage of two different grades of LLDPE under typical rotational molding conditions, which means slow cooling from only one side. Crystallinity and spherulitic morphology along the thickness of the rotationally molded parts were studied by Differential Scanning Calorimetry and Polarized Light Optical Microscopy. It is shown that the warpage increases with the increase of the cooling rate. Increase of diameter of the venting tube is more effective in reducing the warpage of rotationally molded parts mainly for lower cooling rates. In hot press experiments the grade of LLDPE with lower melt flow index and higher flexural strength presented lower warpage. Part thickness affects the warpage in hot press experiments only for faster cooling rates. In general, crystallinity and spherulitic diameter are lower in positions along the rotationally molded part thickness where the cooling rate is faster. / No presente estudo o empenamento de peças de polietileno linear de baixa densidade (PELBD) moldadas por rotomoldagem foi investigado. O efeito de diferentes fatores como espessura da peça, taxa de resfriamento e diâmetro de tubo de ventilação foi avaliado. Em adição aos experimentos de rotomoldagem, uma técnica alternativa denominada “Hot Press” foi também aplicada para investigar o empenamento de dois diferentes PELBD sob condições típicas da rotomoldagem quanto ao resfriamento assimétrico. Cristalinidade e morfologia esferulítica ao longo da espessura das peças rotomoldadas foram avaliadas por Calorimetria Exploratória Diferencial (DSC) e Microscopia Ótica de Luz Polarizada. Verificou-se que o grau de empenamento aumenta com o aumento da taxa de resfriamento. O aumento do diâmetro do tubo de ventilação é mais efetivo na redução do empenamento principalmente em menores taxas de resfriamento. Nos experimentos de “Hot Press” o PELBD com menor índice de fluidez e maior módulo de flexão apresentou menor empenamento. Nos experimentos de “Hot Press” a espessura das peças afetou o empenamento somente para taxas de resfriamento mais rápidas. Em geral, a cristalinidade e o tamanho de esferulitos se mostraram menores nas posições ao longo da espessura das peças rotomodadas onde as taxas de resfriamento eram mais rápidas.
25

Avaliação numérica do empenamento durante a fabricação de semicondutores encapsulados pela tecnologia POP

Colling, Fabiano Alex 27 November 2014 (has links)
Submitted by Maicon Juliano Schmidt (maicons) on 2015-05-21T17:31:45Z No. of bitstreams: 1 Fabiano Alex Colling.pdf: 5692188 bytes, checksum: 8354ca65e4e9e9a92a55f10b5e92b187 (MD5) / Made available in DSpace on 2015-05-21T17:31:45Z (GMT). No. of bitstreams: 1 Fabiano Alex Colling.pdf: 5692188 bytes, checksum: 8354ca65e4e9e9a92a55f10b5e92b187 (MD5) Previous issue date: 2014-11-27 / CAPES - Coordenação de Aperfeiçoamento de Pessoal de Nível Superior / CNPQ – Conselho Nacional de Desenvolvimento Científico e Tecnológico / FAPERGS - Fundação de Amparo à Pesquisa do Estado do Rio Grande do Sul / FINEP - Financiadora de Estudos e Projetos / NUCMAT - Núcleo de Caracterização de Materiais / Programa de Bolsas de Estudo Talentos Tecnosinos / itt Chip - Instituto Tecnológico de Semicondutores da Unisinos / Hongik University da Coreia do Sul / Modelab - Laboratório de Modelagem Elétrica Térmica e Mecânica de Módulos e Encapsulamentos e Eletrônicos / O desenvolvimento de novas tecnologias de encapsulamento de semicondutores tem diminuído o tamanho das trilhas das placas de circuito impresso em busca da miniaturização. Esta diminuição está chegando ao limite possível de ser construído pelo fato de apresentar problemas, como aumento da resistência, ou por ruptura por eletromigração, além do aumento do custo para o controle de partículas nas salas limpas de fabricação. O Encapsulamento sobre Encapsulamento (Package on Package - PoP) surge como uma proposta de encapsulamento com empilhamento de chips finos para reduzir a ocupação do chip na placa. A diferença de propriedades térmicas e mecânicas dos diferentes materiais que compõem o chip encapsulado pode resultar no empenamento do componente. Neste trabalho, foi simulado o comportamento termomecânico de um dispositivo eletrônico encapsulado pela tecnologia Package on Package. Foi avaliado, do ponto de vista térmico e mecânico, quais são os fatores geradores do empenamento de semicondutores encapsulados com a tecnologia PoP recorrente no processo de moldagem. As condições e parâmetros de processo de fabricação foram estudados durante a fabricação de um protótipo de chip de 40 µm de espessura e moldado com um composto de epóxi do tipo 2 (Epoxy Molding Compound - EMC) realizado no Laboratório de Materiais do Departamento de Ciências dos Materiais e Engenharia da universidade Hongik da Coreia do Sul, parceira no projeto de pesquisa. Através das medições do empenamento, por interferometria de Moiré, realizadas no laboratório de testes da empresa Sul Coreana Hana Micron, foi possível construir correlações com a simulação computacional deste componente. Os resultados desta comparação foram utilizados como base para a validação da simulação e ajustes de dados de entrada utilizados em outras três espessuras diferentes de chip de silício (70, 100 e 200 µm) e dois tipos diferentes de EMC (EMC1 e EMC2). As condições e parâmetros de processo de fabricação, a influência no empenamento das diferentes espessuras e tipos de EMC dos componentes simulados foram avaliados. As simulações realizadas com variação no EMC em componentes com chip de 40 µm mostraram que o EMC do tipo 1 apresenta uma redução de 42,39% no empenamento na parte superior do componente (Top) maior em relação ao EMC do tipo 2. No Top, o substrato com chip de 100 µm, o empenamento foi reduzido em 36,62% e no de 200 µm a redução foi de 3,29%. Os resultados mostram a importância da simulação para prever a tendência do empenamento, quando existe a necessidade de muitas variações de parâmetros de processo de fabricação. / The development of new technologies of semiconductors packaging has reduced the size of the tracks of printed circuit boards in search of miniaturization. This reduction has been reaching its own possible limits (of construction) because it has several problems, such as increase of resistance, rupture by electromigration, in addition to the increase of costs of particles control in manufacturing cleanrooms. Package on Package (PoP) comes as a proposition for encapsulation with thin chips piling in order to reduce chip occupation on the board. The difference in thermal and mechanical properties of the different materials that make up the encapsulated chip may result in the warpage of the component. In this study, the thermomechanical behavior of an electronic device encapsulated by the Package on Package technology was simulated. From the thermal and mechanical point of view, it was evaluated what factors cause the warpage of the semiconductors encapsulated with the PoP technology, warpage which is recurrent in the molding process. The manufacturing process conditions and parameters were assessed/evaluated during the making of a 40μm-thick chip prototype which was molded with a type 2 Epoxi Molding Compound - EMC - in the Materials Laboratory of Hongik University Department of Materials Science and Engineering in South Korea, our partner in this research project. Through the warpage measurements, by Moiré interferometry carried out in South Korean Hana Micron's test laboratory, we managed to build correlations with the computing simulation of this component. The results of this comparison were used as base for validation of the simulation and for adjustment of input data used in three different thickness of silicon chips (70, 100 and 200 μm) and two different EMC (EMC1 and EMC2). The manufacturing process conditions and parameters, the influence in warpage of different thicknesses and simulated components EMC types were evaluated. The simulations carried out with EMC variation in components with 40μm chip demonstrated that type 1 EMC has a decrease in warpage of the upper part of the component (Top) 42.39 percent larger than type 2 EMC. On the Top, the substract plus chip with 100 μm thickness, the warpage was reduced in 36.62 percent, and in the 200 μm chip, the reduction was by 3.29 percent. The results show the importance of simulation to predict warpage tendency, when there is the need for many variations of manufacturing production parameters.
26

The influence of pigments and additives on the crystallisation and warpage behaviour of polyethylenes

Chung, Chee Keong January 2013 (has links)
The primary reason for incorporating pigments into plastic materials is to impart the desired colour to finished articles. Some pigments however, may interact with the polymer leading to unexpected deleterious effects. Organic pigments, especially phthalocyanines, are favourable for their brilliant shade but are also well known for causing part distortion or warpage. This causes problems in parts which require good dimensional stability such as crates, containers, trays, caps and closures. Despite that, there are not many published studies on the root cause and mechanism of warpage induced by the pigment. Hence, the objective of this research is to study the influence of such pigments on the dimensional stability, crystallisation behaviour and morphology of polyethylenes in order to have a better understanding on the mechanism of warpage, which could possibly lead to a solution in overcoming this problem.
27

Development of Convective Solder Reflow and Projection Moire System and FEA Model for PWBA Warpage Prediction

Powell, Reinhard Edison 11 April 2006 (has links)
Over the past 50 years, electronics manufacturing industry has undergone revolutionary changes, which have provided consumers with a plethora of electronic products. The increase in functionality of electronic products and decrease in cost due to continuous miniaturization and lower manufacturing costs have evolved over time. As electronics manufacturing technology becomes more advanced, reliability of electronic products and devices have become more of a concern. Thermomechanical reliability in electronics is studied in this research. Thermomechanical failures are failures due to temperature loading conditions electronic products and devices experience during manufacturing and service. The thermomechanical issue studied in this research is the effect of convective solder reflow on the warpage of packaged electronic devices, bare boards and chip packages. A convective reflow-projection moir warpage measurement system is designed and implemented in this research. The system is the first available system capable of measuring warpage of printed wiring boards (PWBs) with and without electronic components during simulated convective reflow process. A finite element prediction tool is also developed to predict the warpage of PWBs populated with plastic ball grid array (PBGA) packages. The developed warpage measurement system as well as the developed finite element model is used to study various PWB assembly (PWBA) configurations during simulated convective reflow processes.
28

Development of convective reflow-projection moire warpage measurement system and prediction of solder bump reliability on board assemblies affected by warpage

Tan, Wei 05 March 2008 (has links)
Out-of-plane displacement (warpage) is one of the major thermomechanical reliability concerns for board-level electronic packaging. Printed wiring board (PWB) and component warpage results from CTE mismatch among the materials that make up the PWB assembly (PWBA). Warpage occurring during surface-mount assembly reflow processes and normal operations may cause serious reliability problems. In this research, a convective reflow and projection moire warpage measurement system was developed. The system is the first real-time, non-contact, and full-field measurement system capable of measuring PWB/PWBA/chip package warpage with the projection moire technique during different thermal reflow processes. In order to accurately simulate the reflow process and to achieve the ideal heating rate, a convective heating system was designed and integrated with the projection moire system. An advanced feedback controller was implemented to obtain the optimum heating responses. The developed system has the advantages of simulating different types of reflow processes, and reducing the temperature gradients through the PWBA thickness to ensure that the projection moire system can provide more accurate measurements. Automatic package detection and segmentation algorithms were developed for the projection moire system. The algorithms are used for automatic segmentation of the PWB and assembled packages so that the warpage of the PWB and chip packages can be determined individually. The effect of initial PWB warpage on the fatigue reliability of solder bumps on board assemblies was investigated using finite element modeling (FEM) and the projection moire system. The 3-D models of PWBAs with varying board warpage were used to estimate the solder bump fatigue life for different chip packages mounted on PWBs. The simulation results were validated and correlated with the experimental results obtained using the projection moire system and accelerated thermal cycling tests. Design of experiments and an advanced prediction model were generated to predict solder bump fatigue life based on the initial PWB warpage, package dimensions and locations, and solder bump materials. This study led to a better understanding of the correlation between PWB warpage and solder bump thermomechanical reliability on board assemblies.
29

ANÁLISIS DE LA DEFORMACIÓN EN LA INYECCIÓN DE TERMOPLÁSTICOS BAJO VARIABLES DE FORMA DE LA PIEZA MEDIANTE RED NEURONAL Y SUPERFICIES RESPUESTA

Gámez Martínez, Juan Luis 03 September 2014 (has links)
La gran parte de productos de consumo contienen partes realizadas a través del proceso de inyección de termoplásticos, esto constata la importancia de este proceso de conformado con respecto a otros procesos de transformación de plástico. La minimización de los costes para ser más competitivos así como la eliminación o reducción de defectos en las piezas inyectadas, han sido los motivos principales para controlar el proceso a través de la optimización de las variables que entran en juego en este proceso, es por ello que se han realizado numerosos estudios referentes a obtener las relaciones existentes entre las variables del proceso y los aspectos de rentabilidad, estética y defectología de las piezas inyectadas. Modelizar dichas relaciones a través de algoritmos matemáticos con el fin de optimizar los resultados obtenidos y predecir el estado final de las piezas inyectadas han sido los objetivos de la mayoría de estudios. Uno de los efectos intrínsecos a la inyección es la deformación de la pieza, esta deformación tiene lugar debido a distintos factores que intervienen en el diseño del proceso en su conjunto, diferencias en la contracción, diferencias en la refrigeración, las esquinas de la pieza, la orientación molecular, etc son elementos condicionantes de la deformación que se han estudiado en infinidad de artículos, en esta divulgación científica se estudiará la deformación bajo aspectos dimensionales de la pieza con la finalidad de intentar descubrir y optimizar las condiciones de entrada que en este caso serían las dimensiones de la pieza a través de la observación y modelización de las variables de salida que seria la deformación. Y la pregunta que nos realizamos es ¿Cómo varían las deformaciones modificando las dimensiones de la pieza? ¿Cuáles son las dimensiones de la pieza a estudio que minimizan los efectos negativos de la deformación? ¿se puede predecir la deformación que obtendremos en una pieza solo con las dimensiones de una pieza? A todas estas preguntas intentamos dar respuesta en el estudio siguiente. / Gámez Martínez, JL. (2014). ANÁLISIS DE LA DEFORMACIÓN EN LA INYECCIÓN DE TERMOPLÁSTICOS BAJO VARIABLES DE FORMA DE LA PIEZA MEDIANTE RED NEURONAL Y SUPERFICIES RESPUESTA [Tesis doctoral no publicada]. Universitat Politècnica de València. https://doi.org/10.4995/Thesis/10251/39350 / TESIS
30

A Selective Encapsulation Solution For Packaging An Optical Micro Electro Mechanical System

Bowman, Amy Catherine 08 January 2002 (has links)
This work developed a process to provide physical, electrical, and environmental protection to the electrical lead system of an optical switch device. A literature review was conducted to find materials and processes suitable to the stress-sensitive, high voltage characteristics of many optical switch devices. An automatic dispensing dam and fill process, and three candidate materials (two epoxy and one silicone) were selected for investigation. Experimental and analytical techniques were used to evaluate the materials. Methods applied included interferometric die warpage measurements, electrochemical migration resistance tests (ECMT), thermal cycling, and finite element analysis. The silicone dam and fill system was selected based upon the results of die warpage and electrochemical migration resistance tests. A modified, selective dam and fill process was developed and preliminary reliability testing was performed. The paper provides detailed instructions for successful encapsulation of the optical switch's lead system.

Page generated in 0.0473 seconds