• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 4
  • 2
  • 1
  • 1
  • Tagged with
  • 28
  • 28
  • 28
  • 15
  • 15
  • 13
  • 10
  • 10
  • 10
  • 9
  • 8
  • 8
  • 8
  • 6
  • 5
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
21

Avaliação dos diagramas de fase do sistema LiF-GdF3-LuF3 utilizando termodinâmica computacional / Assessment of the LiF-LuF3-GdF3 phase diagrams using computational thermodynamics

SANTOS, IVANILDO A. dos 09 October 2014 (has links)
Made available in DSpace on 2014-10-09T12:35:37Z (GMT). No. of bitstreams: 0 / Made available in DSpace on 2014-10-09T14:03:58Z (GMT). No. of bitstreams: 1 18221.pdf: 475984 bytes, checksum: d5ed50df9b4585d0998e12d282f7f294 (MD5) / Neste trabalho, realizou-se o estudo que permitiu a otimização termodinâmica das seções binárias pertencentes ao diagrama de fase ternário do sistema LiF-GdF3-LuF3, para tanto o programa FactSage foi empregado na simulação computacional. Assim, o comportamento de fusão das misturas destes compostos foi elucidado, o que representa uma contribuição inovadora para o conhecimento das propriedades físicas e químicas destes materiais. Em particular, determinou-se a faixa de composições nas quais as soluções sólidas de LiGdxLu1-xF4 e GdxLu1-xF3 podem ser obtidas diretamente da fase líquida. Neste trabalho as três secções binárias, LiF-GdF3, LiF-LuF3 e GdF3-LuF3 foram reavaliadas experimentalmente utilizando a calorimetria exploratória diferencial para a obtenção de dados mais precisos de temperatura versus composição, uma vez que foi possível minimizar a contaminação das amostras com compostos de oxigênio. A capacidade calorífica e outros dados calorimétricos foram também determinados experimentalmente e comparados com os existentes na literatura. Os termos da energia livre de Gibbs de excesso para as fases representadas como soluções, os quais descrevem os efeitos de interação não ideal entre os dois fluoretos nestas fases, foram expressos com sucesso pela modelo polinomial Redlich-Kister. Finalmente, o caminho de solidificação no diagrama de fase ternário LiF-GdF3-LuF3 pôde ser extrapolado de acordo com o formalismo de Kohler-Toop. Assim, pela primeira vez, a interação ternária entre os compostos LiF, GdF3 e LuF3 foi determinada. / Tese (Doutoramento) / IPEN/T / Instituto de Pesquisas Energeticas e Nucleares - IPEN-CNEN/SP
22

Inclusão e remoção térmica de NaCl, KI e grafite para obtenção de cerâmicas porosas de zircônia estabilizada com ítria / Inclusion an thermal removal of NaCl, KI and graphite for preparing porous yttria-stabilized zirconia ceramics: electrical and microstructural characterization

CARVALHO, SABRINA G. de M. 09 October 2014 (has links)
Made available in DSpace on 2014-10-09T12:41:49Z (GMT). No. of bitstreams: 0 / Made available in DSpace on 2014-10-09T14:08:17Z (GMT). No. of bitstreams: 0 / Cerâmicas de zircônia estabilizada com ítria são utilizadas na forma densa como eletrólito e na forma porosa como ânodo em células a combustível de óxido sólido. Neste trabalho cerâmicas porosas de zircônia estabilizada com 8 mol% de ítria foram preparadas por meio da adição de diferentes teores de KI, NaCl e grafite como aditivo sacrificial. A remoção térmica do aditivo foi avaliada por meio de análises termogravimétrica, térmica diferencial e dilatométrica. As amostras foram preparadas por meio de mistura, compactação e sinterização a 1400 ºC/2 h. As amostras foram caracterizadas por difração de raios X(DRX) e análise topográfica em microscópio de varredura por sonda e microscópio eletrônico de varredura de superfícies polidas e atacadas para avaliação da distribuição do teor de poros e tamanho médio de grãos. O teor do aditivo residual foi avaliado por fluorescência de raios X (FRX). O comportamento elétrico foi analisado por espectroscopia de impedância (EI) na faixa de frequências 5 Hz-10 MHz entre 300 ºC e 450 ºC. Os resultados de FRX mostram que não há resíduo do aditivo após sinterização. A análise de DRX indica que todas as amostras têm fase única, cúbica tipo fluorita. Os diagramas de impedância mostram que há aumento i) das resistividades elétricas intergranular e intragranular, evidenciando a formação de poros em ambas as regiões, ii) do ângulo de descentralização do semicírculo a baixas frequências, devido ao aumento do grau de heterogeneidade pela presença de poros, e iii) do produto do fator de bloqueio R pelo fator de frequência f, consequência do aumento do teor de poros. Esses resultados estão em concordância com os resultados das análises de microscopia de varredura por sonda e de microscopia eletrônica de varredura. / Dissertação (Mestrado) / IPEN/D / Instituto de Pesquisas Energeticas e Nucleares - IPEN-CNEN/SP
23

Contribution à l'étude thermodynamique du système ternaire Fe-Ti-B du côté riche en Fe / Contribution to the thermodynamic study of iron rich side of the Fe-Ti-B system

Gospodinova, Maya 16 April 2013 (has links)
Dans le cadre de la nouvelle réglementation sur l'environnement, un objectif d'allègement de 20% des véhicules automobiles doit être atteint afin de répondre aux impératifs de réduction des émissions de CO2. Le développement d'une nouvelle génération d'aciers sous forme de composites à matrice acier Fe-TiB2 est d'un grand intérêt industriel et pourrait être une réponse prometteuse car il permet d'améliorer la rigidité de l'acier tout en diminuant sa densité. L'élaboration de tels produits nécessite une très bonne connaissance de la thermodynamique des équilibres de phases dans les systèmes concernés. Ce mémoire est consacré à l'étude thermodynamique de cette nouvelle famille de composite à matrice acier Fe-TiB2 et plus particulièrement à l'établissement des équilibres de phases liquide/solide et solide/solide dans le système ternaire Fe-Ti-B du côté riche en fer ainsi qu'à la définition du domaine de stabilité du diborure de titane dans les solutions Fe-Ti-B. La démarche employée est une approche couplée d'expériences ciblées (séparation électromagnétique de phases, analyse thermique différentielle, équilibre de phases) et modélisation thermodynamique des équilibres de phases. / To answer the imperatives of reduction of CO2 emissions, an objective of 20% weight lightening of automotive vehicles must be reached. Developing such innovative Fe-TiB2 composites of a great industrial interest could be a promising answer because it allows to improving the rigidity of the steel while decreasing its density. The development of such products requires a good knowledge of the thermodynamics of phase equilibria in the studied systems. This thesis is devoted to thermodynamic study of this new family of steel matrix composite Fe-TiB2 and particularly to the establishment of liquid/solid and solid/solid phase equilibria in the iron richer side of the ternary Fe-Ti-B system as well as to the definition of the stability domain of the titanium diboride in the Fe-Ti-B solutions. This task was performed by a coupled approach consisting in specific experiments (electromagnetic separation of phases, differential thermal analysis, phase equilibria) and thermodynamic modeling of phase equilibria.
24

Síntese e caracterização de fósforos a base de silicatos de cálcio e magnésio dopados com európio e disprósio / Synthesis and characterization of phosphors based on calcium and magnesium silicates doped with europium and dysprosium

MISSO, AGATHA M. 25 May 2017 (has links)
Submitted by Marco Antonio Oliveira da Silva (maosilva@ipen.br) on 2017-05-25T17:33:34Z No. of bitstreams: 0 / Made available in DSpace on 2017-05-25T17:33:34Z (GMT). No. of bitstreams: 0 / Fósforos a base de silicatos de Ca e Mg foram preparados pelo método sol-gel combinado com o processo de sais fundidos. O gel de sílica foi obtido a partir da solução de Na2SiO3 usando soluções de cloretos de európio, disprósio, cálcio e magnésio. Assim, estes cloretos foram homogeneamente distribuídos no gel. O gel obtido foi seco e tratado termicamente a 900°C por 1h para permitir a fusão dos sais presentes. Em seguida o material foi lavado com água até teste negativo para íons Cl- e seco em estufa a 80°C. A redução do európio para Eu2+ foi realizada em um forno sob atmosfera de 5% de H2 e 95% de Ar a 900°C por 3h para obter os fósforos de CaMgSi2O6:Eu2+ e CaMgSi2O6:Eu2+:Dy3+. Nos difratogramas de DRX das amostras, a diopsita foi identificada como fase cristalina principal e quartzo, como a secundária. Micrografias obtidas por MEV (microscopia eletrônica de varredura), das amostras, mostraram morfologia acicular, esférica, folhas e bastonetes das partículas e dos aglomerados . Curva de análise térmica (TGA-DTGA) revelou que a temperatura de cristalização do CaMgSi2O6:Eu2+ é próxima de 765°C. Estudos de espectroscopia de fotoluminescência foram baseados nas transições interconfiguracionais 4fN → 4fN-1 5d do íon Eu2+. O espectro de excitação apresentou banda larga relativa à transição de transferência de carga ligante metal (LMCT) O2- (2p) → Eu3+ na região de 250 nm e bandas finas oriundas das transições 4f → 4f do íon Eu3+ , mostrando a transição 7F0 → 5L6 em 393 nm quando a emissão é monitorada em 583,5 nm. E o espectro de emissão com excitação monitorada em 393 nm apresentou picos finos entre 570 e 750 nm característicos das transições 5D0 → 7 FJ (J = 0 - 5) do íon Eu3+ , indicando que o íon Eu3+ se encontra em um sítio com centro de inversão. Os resultados obtidos indicam que o método desenvolvido é viável na síntese de fóforos, CaMgSi2O6:Eu2+ e CaMgSi2O6:Eu2+:Dy3+ como foi proposto. / Dissertação (Mestrado em Tecnologia Nuclear) / IPEN/D / Instituto de Pesquisas Energéticas e Nucleares - IPEN-CNEN/SP
25

Evaluation of the Effect of Tungsten and Boron Additions on the Microstructure and Solidification Cracking Susceptibility of Fe-Mn-C Filler Metals

Lenzo, Jansen C., Lenzo January 2016 (has links)
No description available.
26

Evaluation of novel metalorganic precursors for atomic layer deposition of Nickel-based thin films / Evaluierung neuartiger metallorganischen Präkursoren für Atomlagenabscheidung von Nickel-basierten Dünnschichten

Sharma, Varun 04 June 2015 (has links) (PDF)
Nickel und Nickel (II) -oxid werden in großem Umfang in fortgeschrittenen elektronischen Geräten verwendet. In der Mikroelektronik-Industrie wird Nickel verwendet werden, um Nickelsilizid bilden. Die Nickelmono Silizid (NiSi) wurde als ausgezeichnetes Material für Source-Drain-Kontaktanwendungen unter 45 nm-CMOS-Technologie entwickelt. Im Vergleich zu anderen Siliziden für die Kontaktanwendungen verwendet wird NiSi wegen seines niedrigen spezifischen Widerstand, niedrigen Kontaktwiderstand, relativ niedrigen Bildungstemperatur und niedrigem Siliziumverbrauchs bevorzugt. Nickel in Nickelbasis-Akkus und ferromagnetischen Direktzugriffsspeicher (RAMs) verwendet. Nickel (II) oxid wird als Transistor-Gate-Oxid und Oxid in resistive RAM genutzt wird. Atomic Layer Deposition (ALD) ist eine spezielle Art der Chemical Vapor Deposition (CVD), das verwendet wird, um sehr glatte sowie homogene Dünnfilme mit hervorragenden Treue auch bei hohen Seitenverhältnissen abzuscheiden. Es basiert auf selbstabschließenden sequentielle Gas-Feststoff-Reaktionen, die eine präzise Steuerung der Filmdicke auf wenige Angström lassen sich auf der Basis. Zur Herstellung der heutigen 3D-elektronische Geräte, sind Technologien wie ALD erforderlich. Trotz der Vielzahl von praktischen Anwendungen von Nickel und Nickel (II) -oxid, sind einige Nickelvorstufen zur thermischen basierend ALD erhältlich. Darüber hinaus haben diese Vorstufen bei schlechten Filmeigenschaften führte und die Prozesseigenschaften wurden ebenfalls begrenzt. Daher in dieser Masterarbeit mussten die Eigenschaften verschiedener neuartiger Nickelvorstufen zu bewerten. Alle neuen Vorstufen heteroleptische (verschiedene Arten von Liganden) und Komplexe wurden vom Hersteller speziell zur thermischen basierend ALD aus reinem Nickel mit H 2 als ein Co-Reaktionsmittel gestaltet. Um die neuartige Vorläufer zu untersuchen, wurde eine neue Methode entwickelt, um kleine Mengen in einer sehr zeitsparend (bis zu 2 g) von Ausgangsstoffen zu testen. Diese Methodologie beinhaltet: TGA / DTA-Kurve analysiert der Vorstufen, thermische Stabilitätstests in dem die Vorläufer (<0,1 g) wurden bei erhöhter Temperatur in einer abgedichteten Umgebung für mehrere Stunden wurde die Abscheidung Experimenten und Film Charakterisierungen erhitzt. Die Abscheidungen wurden mit Hilfe der in situ Quarzmikrowaage überwacht, während die anwendungsbezogenen Filmeigenschaften, wie chemische Zusammensetzung, physikalische Phase, Dicke, Dichte, Härte und Schichtwiderstand wurden mit Hilfe von ex situ Messverfahren untersucht. Vor der Evaluierung neuartiger Nickelvorstufen ein Benchmark ALD-Prozess war vom Referenznickelvorläufer (Ni (AMD)) und Luft als Reaktionspartner entwickelt. Das Hauptziel der Entwicklung und Optimierung von solchen Benchmark-ALD-Prozess war es, Standard-Prozessparameter wie zweite Reaktionspartner Belichtungszeiten, Argonspülung Zeiten, gesamtprozessdruck, beginnend Abscheidungstemperatur und Gasströme zu extrahieren. Diese Standard-Prozessparameter mussten verwendet, um die Prozessentwicklung Aufgabe (das spart Vorläufer Verbrauch) zu verkürzen und die Sublimationstemperatur Optimierung für jede neuartige Vorstufe werden. Die ALD Verhalten wurde in Bezug auf die Wachstumsrate durch Variation des Nickelvorläuferbelichtungszeit, Vorläufer Temperatur und Niederschlagstemperatur überprüft. / Nickel and nickel(II) oxide are widely used in advanced electronic devices . In microelectronic industry, nickel is used to form nickel silicide. The nickel mono-silicide (NiSi) has emerged as an excellent material of choice for source-drain contact applications below 45 nm node CMOS technology. As compared to other silicides used for the contact applications, NiSi is preferred because of its low resistivity, low contact resistance, relatively low formation temperature and low silicon consumption. Nickel is used in nickel-based rechargeable batteries and ferromagnetic random access memories (RAMs). Nickel(II) oxide is utilized as transistor gate-oxide and oxide in resistive RAMs. Atomic Layer Deposition (ALD) is a special type of Chemical Vapor Deposition (CVD) technique, that is used to deposit very smooth as well as homogeneous thin films with excellent conformality even at high aspect ratios. It is based on self-terminating sequential gas-solid reactions that allow a precise control of film thickness down to few Angstroms. In order to fabricate todays 3D electronic devices, technologies like ALD are required. In spite of huge number of practical applications of nickel and nickel(II) oxide, a few nickel precursors are available for thermal based ALD. Moreover, these precursors have resulted in poor film qualities and the process properties were also limited. Therefore in this master thesis, the properties of various novel nickel precursors had to be evaluated. All novel precursors are heteroleptic (different types of ligands) complexes and were specially designed by the manufacturer for thermal based ALD of pure nickel with H 2 as a co-reactant. In order to evaluate the novel precursors, a new methodology was designed to test small amounts (down to 2 g) of precursors in a very time efficient way. This methodology includes: TGA/DTA curve analyses of the precursors, thermal stability tests in which the precursors (< 0.1 g) were heated at elevated temperatures in a sealed environment for several hours, deposition experiments, and film characterizations. The depositions were monitored with the help of in situ quartz crystal microbalance, while application related film properties like chemical composition, physical phase, thickness, density, roughness and sheet resistance were investigated with the help of ex situ measurement techniques. Prior to the evaluation of novel nickel precursors, a benchmark ALD process was developed from the reference nickel precursor (Ni(amd)) and air as a co-reactant. The main goal of developing and optimizing such benchmark ALD process was to extract standard process parameters like second-reactant exposure times, Argon purge times, total process pressure, starting deposition temperature and gas flows. These standard process parameters had to be utilized to shorten the process development task (thus saving precursor consumption) and optimize the sublimation temperature for each novel precursor. The ALD behaviour was checked in terms of growth rate by varying the nickel precursor exposure time, precursor temperature and deposition temperature.
27

Evaluation of novel metalorganic precursors for atomic layer deposition of Nickel-based thin films

Sharma, Varun 17 February 2015 (has links)
Nickel und Nickel (II) -oxid werden in großem Umfang in fortgeschrittenen elektronischen Geräten verwendet. In der Mikroelektronik-Industrie wird Nickel verwendet werden, um Nickelsilizid bilden. Die Nickelmono Silizid (NiSi) wurde als ausgezeichnetes Material für Source-Drain-Kontaktanwendungen unter 45 nm-CMOS-Technologie entwickelt. Im Vergleich zu anderen Siliziden für die Kontaktanwendungen verwendet wird NiSi wegen seines niedrigen spezifischen Widerstand, niedrigen Kontaktwiderstand, relativ niedrigen Bildungstemperatur und niedrigem Siliziumverbrauchs bevorzugt. Nickel in Nickelbasis-Akkus und ferromagnetischen Direktzugriffsspeicher (RAMs) verwendet. Nickel (II) oxid wird als Transistor-Gate-Oxid und Oxid in resistive RAM genutzt wird. Atomic Layer Deposition (ALD) ist eine spezielle Art der Chemical Vapor Deposition (CVD), das verwendet wird, um sehr glatte sowie homogene Dünnfilme mit hervorragenden Treue auch bei hohen Seitenverhältnissen abzuscheiden. Es basiert auf selbstabschließenden sequentielle Gas-Feststoff-Reaktionen, die eine präzise Steuerung der Filmdicke auf wenige Angström lassen sich auf der Basis. Zur Herstellung der heutigen 3D-elektronische Geräte, sind Technologien wie ALD erforderlich. Trotz der Vielzahl von praktischen Anwendungen von Nickel und Nickel (II) -oxid, sind einige Nickelvorstufen zur thermischen basierend ALD erhältlich. Darüber hinaus haben diese Vorstufen bei schlechten Filmeigenschaften führte und die Prozesseigenschaften wurden ebenfalls begrenzt. Daher in dieser Masterarbeit mussten die Eigenschaften verschiedener neuartiger Nickelvorstufen zu bewerten. Alle neuen Vorstufen heteroleptische (verschiedene Arten von Liganden) und Komplexe wurden vom Hersteller speziell zur thermischen basierend ALD aus reinem Nickel mit H 2 als ein Co-Reaktionsmittel gestaltet. Um die neuartige Vorläufer zu untersuchen, wurde eine neue Methode entwickelt, um kleine Mengen in einer sehr zeitsparend (bis zu 2 g) von Ausgangsstoffen zu testen. Diese Methodologie beinhaltet: TGA / DTA-Kurve analysiert der Vorstufen, thermische Stabilitätstests in dem die Vorläufer (<0,1 g) wurden bei erhöhter Temperatur in einer abgedichteten Umgebung für mehrere Stunden wurde die Abscheidung Experimenten und Film Charakterisierungen erhitzt. Die Abscheidungen wurden mit Hilfe der in situ Quarzmikrowaage überwacht, während die anwendungsbezogenen Filmeigenschaften, wie chemische Zusammensetzung, physikalische Phase, Dicke, Dichte, Härte und Schichtwiderstand wurden mit Hilfe von ex situ Messverfahren untersucht. Vor der Evaluierung neuartiger Nickelvorstufen ein Benchmark ALD-Prozess war vom Referenznickelvorläufer (Ni (AMD)) und Luft als Reaktionspartner entwickelt. Das Hauptziel der Entwicklung und Optimierung von solchen Benchmark-ALD-Prozess war es, Standard-Prozessparameter wie zweite Reaktionspartner Belichtungszeiten, Argonspülung Zeiten, gesamtprozessdruck, beginnend Abscheidungstemperatur und Gasströme zu extrahieren. Diese Standard-Prozessparameter mussten verwendet, um die Prozessentwicklung Aufgabe (das spart Vorläufer Verbrauch) zu verkürzen und die Sublimationstemperatur Optimierung für jede neuartige Vorstufe werden. Die ALD Verhalten wurde in Bezug auf die Wachstumsrate durch Variation des Nickelvorläuferbelichtungszeit, Vorläufer Temperatur und Niederschlagstemperatur überprüft.:Lists of Abbreviations and Symbols VIII Lists of Figures and Tables XIV 1 Introduction 1 I Theoretical Part 3 2 Nickel and Nickel Oxides 4 2.1 Introduction and Existence 5 2.2 Material properties of Nickel and Nickel Oxide 5 2.3 Application in electronic industry 5 3 Atomic Layer Deposition 7 3.1 History 8 3.2 Definition 8 3.3 Features of thermal-ALD 8 3.3.1 ALD growth mechanism – an ideal view 8 3.3.2 ALD growth behaviour 10 3.3.3 Growth mode 11 3.3.4 ALD temperature window 11 3.4 Benefits and limitations 12 3.5 Precursor properties for thermal-ALD 13 3.6 ALD & CVD of Nickel – A literature survey 13 4 Metrology 17 4.1 Thermal analysis of precursors 18 4.2 Film and growth characterization 21 4.2.1 Quartz Crystal Microbalance 21 4.2.2 Spectroscopic Ellipsometry 24 4.2.3 X-Ray Photoelectron Spectroscopy 28 4.2.4 Scanning Electron Microscopy 29 4.2.5 X-Ray Reflectometry and X-Ray Diffraction 29 4.2.6 Four Point Probe Technique 20 5 Rapid Thermal Processing 32 5.1 Introduction 33 5.2 Basics of RTP 33 5.3 Nickel Silicides-A literature survey 33 II Experimental Part 36 6 Methodologies 37 6.1 Experimental setup 38 6.2 ALD process 41 6.2.1 ALD process types and substrate setups 41 6.2.2 Process parameters 41 6.3 Experimental procedure 42 6.3.1 Tool preparation 42 6.3.2 Thermal analysis and ALD experiments from nickel precursors 43 6.3.3 Data acquisition and evaluation 44 6.3.4 Characterization of film properties 46 7 Results and discussion 48 7.1 Introduction 49 7.2 QCM verification with Aluminum Oxide ALD process 49 7.3 ALD process from the reference precursor 50 7.3.1 Introduction 50 7.3.2 TG analysis for Ni(amd) precursor 51 7.3.3 Thermal stability test for Ni(amd) 51 7.3.4 ALD process optimization 52 7.3.5 Film properties 54 7.4 Evaluating the novel Nickel precursors 55 7.4.1 Screening tests for precursor P1 55 7.4.2 Screening tests for precursor P2 62 7.4.3 Screening tests for precursor P3 66 7.4.4 Screening tests for precursor P4 70 7.4.5 Screening tests for precursor P5 72 7.5 Comparison of all nickel precursors used in this work 74 8 Conclusions and outlook 77 References 83 III Appendix 101 A Deposition temperature control & Ellipsometry model 102 B Gas flow plan 105 / Nickel and nickel(II) oxide are widely used in advanced electronic devices . In microelectronic industry, nickel is used to form nickel silicide. The nickel mono-silicide (NiSi) has emerged as an excellent material of choice for source-drain contact applications below 45 nm node CMOS technology. As compared to other silicides used for the contact applications, NiSi is preferred because of its low resistivity, low contact resistance, relatively low formation temperature and low silicon consumption. Nickel is used in nickel-based rechargeable batteries and ferromagnetic random access memories (RAMs). Nickel(II) oxide is utilized as transistor gate-oxide and oxide in resistive RAMs. Atomic Layer Deposition (ALD) is a special type of Chemical Vapor Deposition (CVD) technique, that is used to deposit very smooth as well as homogeneous thin films with excellent conformality even at high aspect ratios. It is based on self-terminating sequential gas-solid reactions that allow a precise control of film thickness down to few Angstroms. In order to fabricate todays 3D electronic devices, technologies like ALD are required. In spite of huge number of practical applications of nickel and nickel(II) oxide, a few nickel precursors are available for thermal based ALD. Moreover, these precursors have resulted in poor film qualities and the process properties were also limited. Therefore in this master thesis, the properties of various novel nickel precursors had to be evaluated. All novel precursors are heteroleptic (different types of ligands) complexes and were specially designed by the manufacturer for thermal based ALD of pure nickel with H 2 as a co-reactant. In order to evaluate the novel precursors, a new methodology was designed to test small amounts (down to 2 g) of precursors in a very time efficient way. This methodology includes: TGA/DTA curve analyses of the precursors, thermal stability tests in which the precursors (< 0.1 g) were heated at elevated temperatures in a sealed environment for several hours, deposition experiments, and film characterizations. The depositions were monitored with the help of in situ quartz crystal microbalance, while application related film properties like chemical composition, physical phase, thickness, density, roughness and sheet resistance were investigated with the help of ex situ measurement techniques. Prior to the evaluation of novel nickel precursors, a benchmark ALD process was developed from the reference nickel precursor (Ni(amd)) and air as a co-reactant. The main goal of developing and optimizing such benchmark ALD process was to extract standard process parameters like second-reactant exposure times, Argon purge times, total process pressure, starting deposition temperature and gas flows. These standard process parameters had to be utilized to shorten the process development task (thus saving precursor consumption) and optimize the sublimation temperature for each novel precursor. The ALD behaviour was checked in terms of growth rate by varying the nickel precursor exposure time, precursor temperature and deposition temperature.:Lists of Abbreviations and Symbols VIII Lists of Figures and Tables XIV 1 Introduction 1 I Theoretical Part 3 2 Nickel and Nickel Oxides 4 2.1 Introduction and Existence 5 2.2 Material properties of Nickel and Nickel Oxide 5 2.3 Application in electronic industry 5 3 Atomic Layer Deposition 7 3.1 History 8 3.2 Definition 8 3.3 Features of thermal-ALD 8 3.3.1 ALD growth mechanism – an ideal view 8 3.3.2 ALD growth behaviour 10 3.3.3 Growth mode 11 3.3.4 ALD temperature window 11 3.4 Benefits and limitations 12 3.5 Precursor properties for thermal-ALD 13 3.6 ALD & CVD of Nickel – A literature survey 13 4 Metrology 17 4.1 Thermal analysis of precursors 18 4.2 Film and growth characterization 21 4.2.1 Quartz Crystal Microbalance 21 4.2.2 Spectroscopic Ellipsometry 24 4.2.3 X-Ray Photoelectron Spectroscopy 28 4.2.4 Scanning Electron Microscopy 29 4.2.5 X-Ray Reflectometry and X-Ray Diffraction 29 4.2.6 Four Point Probe Technique 20 5 Rapid Thermal Processing 32 5.1 Introduction 33 5.2 Basics of RTP 33 5.3 Nickel Silicides-A literature survey 33 II Experimental Part 36 6 Methodologies 37 6.1 Experimental setup 38 6.2 ALD process 41 6.2.1 ALD process types and substrate setups 41 6.2.2 Process parameters 41 6.3 Experimental procedure 42 6.3.1 Tool preparation 42 6.3.2 Thermal analysis and ALD experiments from nickel precursors 43 6.3.3 Data acquisition and evaluation 44 6.3.4 Characterization of film properties 46 7 Results and discussion 48 7.1 Introduction 49 7.2 QCM verification with Aluminum Oxide ALD process 49 7.3 ALD process from the reference precursor 50 7.3.1 Introduction 50 7.3.2 TG analysis for Ni(amd) precursor 51 7.3.3 Thermal stability test for Ni(amd) 51 7.3.4 ALD process optimization 52 7.3.5 Film properties 54 7.4 Evaluating the novel Nickel precursors 55 7.4.1 Screening tests for precursor P1 55 7.4.2 Screening tests for precursor P2 62 7.4.3 Screening tests for precursor P3 66 7.4.4 Screening tests for precursor P4 70 7.4.5 Screening tests for precursor P5 72 7.5 Comparison of all nickel precursors used in this work 74 8 Conclusions and outlook 77 References 83 III Appendix 101 A Deposition temperature control & Ellipsometry model 102 B Gas flow plan 105
28

Nové gelové elektrolyty / New gel electrolytes

Sumka, Martin January 2016 (has links)
This master´s thesis deals with the properties of gel polymer electrolytes, brief characteristics of other types of electrolytes and materials that are used for preparing polymer electrolytes. The thesis explains the use of the gel electrolytes in practice, the current conduction in the electrolytes and the properties of ionic liquids, and flame retardants. This thesis also focuses on methods of measurement of mechanical properties of gel polymer electrolytes. The practical part is focused on preparation of methacrylate gel electrolytes and their modifications with the use of flame retardant - triethyl phosphate (TEP) and ionic liquid - 1-ethyl-3-methylimidazolium bis(trifluoromethanesulfonyl)imide (Emim TFSI). In this part there are evaluations of their potential funcionality (potential window) and specific conductance conductivity using the method LSV (linear sweep voltammetry) and impedance spectroscopy. The practical part also includes a thermal analysis of selected samples by TGA, DTA and EGA methods.

Page generated in 0.0349 seconds