• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 31
  • 3
  • 1
  • Tagged with
  • 36
  • 36
  • 15
  • 14
  • 12
  • 12
  • 11
  • 11
  • 9
  • 9
  • 9
  • 7
  • 7
  • 7
  • 6
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
21

Etude des interactions plasma–surface pendant la gravure du silicium dans des plasmas HBr/Cl2/O2

Kogelschatz, Martin 06 December 2004 (has links) (PDF)
L'objectif de ce travail est de comprendre les interactions plasma-surface pendant la gravure du silicium dans des chimies HBr/Cl2/O2. Dans ces procédés, une couche se dépose sur les parois du réacteur et mène à la dérive du procédé. La nature chimique et les mécanismes de formation de cette couche ont été étudiés par sa gravure ultérieure avec un plasma Ar/SF6 et l'analyse résolue en temps des produits de gravure par les diagnostics d'émission optique et de spectrométrie de masse. Il a été montré que cette couche est du type SiOxCly très riche en chlore. Aussi, la cinétique des radicaux SiClx produits lors de la gravure du silicium par le plasma HBr/Cl2/O2, qui sont les précurseurs de ce dépôt, a été étudiée par la spectroscopie d'absorption large bande dans l'UV. Il a été conclu que les précurseurs du dépôt sont le Si, Si+, SiCl et SiCl+, mais la réaction de ces espèces avec les parois peut aussi mener à la formation de SiCl2 volatil.
22

Gravure en plasma dense fluorocarboné de matériaux organosiliciés à faible constante diélectrique (SiOCH, SiOCH poreux). Etude d'un procédé de polarisation pulsée.

Raballand, Vanessa 05 July 2006 (has links) (PDF)
En micro-électronique, la performance des circuits intégrés est limitée par l'augmentation des délais d'interconnexions. Une solution est de remplacer le diélectrique d'interniveaux conventionnel (SiO2) par un matériau à plus faible constante diélectrique (low-k). Cette étude concerne la gravure de matériaux low-k SiOCH et SiOCH poreux, et la gravure de la couche barrière SiCH et du masque dur SiO2. La sélectivité de gravure des low-k par rapport à SiCH et SiO2 est un critère important à obtenir. De plus, l'étape de gravure ne doit pas modifier considérablement la constante diélectrique du matériau. Enfin, la gravure de motifs doit être anisotrope. Pour atteindre ces objectifs, un meilleur contrôle du procédé de gravure et une meilleure compréhension des mécanismes de gravure sont souhaités. <br />La gravure des matériaux est réalisée en plasma fluorocarboné (CHF3) additionné ou non de H2 ou Ar, dans un réacteur à couplage inductif (ICP), dans lequel le substrat est polarisé négativement. Ce procédé a été modifié en appliquant une polarisation pulsée au substrat (1 Hz à 10 kHz). Dans cette configuration, l'énergie des ions est pulsée. L'influence des conditions de pulse (fréquence, et rapport cyclique rc=TON/T) sur la gravure des matériaux SiOCH poreux, SiOCH, SiCH, SiO2, et Si est étudiée. En particulier, en diminuant le rapport cyclique, ce procédé pulsé fournit d'excellents résultats concernant la gravure sélective de SiOCH poreux vis à vis de SiCH et SiO2. <br />Pour optimiser le procédé de gravure, une meilleure compréhension de l'interaction plasma-surface, et par suite des mécanismes de gravure, est indispensable. Pour cela, des analyses de surface (XPS, ellipsométrie spectroscopique, MEB) sont corrélées à des analyses du plasma (spectrométrie de masse, spectroscopie d'émission optique, sonde de Langmuir, sonde plane). En particulier, durant cette thèse, le diagnostic de sonde plane a été développé. Il permet une mesure précise du flux d'ions, qui peut alors être mesuré en temps réel en plasma polymérisant, électronégatif et instable. En comparant ces différents diagnostics, nous concluons que les mécanismes de gravure en polarisation pulsée sont similaires à ceux en polarisation continue. Toutefois, le procédé de gravure diffère. Aussi, pour comprendre ce procédé, un modèle décrivant les vitesses de gravure en fonction de la tension de polarisation a été développé. En résumé, lorsque aucune tension n'est appliquée (phase OFF), un film fluorocarboné se dépose à la surface des matériaux. Puis, à l'application d'une tension (phase ON), une énergie des ions supérieure à celle obtenue en polarisation continue est nécessaire pour graver ce dépôt puis graver le matériau. De plus, la gravure du matériau en polarisation pulsée s'opère à travers un film fluorocarboné plus riche en fluor par rapport au mode continu : La gravure des matériaux en est améliorée. Le modèle, tenant compte de cet état de surface, décrit alors correctement les seuils et vitesses de gravure des différents matériaux en polarisation pulsée.
23

Vers une gravure plasma de précision nanométrique : simulations de dynamique moléculaire en chimie Si-Cl / Towards a nanometric precision etching in reactive plasmas : molecular dynamics simulations of Si-Cl interactions

Brichon, Paulin 10 March 2015 (has links)
Ce travail de thèse aborde le problème de la gravure de matériaux ultraminces pour la réalisation de nouvelles générations de transistors (FDSOI, FinFET) dans les dispositifs nanoélectroniques avancés. Ces transistors doivent être gravés avec une précision nanométrique pour ne pas endommager les propriétés électroniques des couches actives. Afin d'atteindre une telle précision, les dommages surfaciques et l'épaisseur des couches réactives formées lors de l'exposition plasma doivent être maintenus en-deçà du nanomètre, véritable défi auquel les plasmas ICP continus ne sont plus à même de répondre. Pour assister le développement de nouveaux procédés de gravure, des simulations de dynamique moléculaire ont été développées afin étudier l'influence de nouvelles technologies plasma (plasmas pulsés, plasma basse Te, gaz pulsés) sur les interactions entre silicium et plasmas chlorés. Les simulations montrent que l'énergie ionique (Eion) est le paramètre numéro un pour contrôler la gravure de couches de Si ultraminces, une diminution de l'énergie réduisant à la fois l'épaisseur de couche endommagée SiClx et le taux de gravure. Le rapport du flux de neutres sur flux d'ions (Γ) est le 2nd paramètre clé : son augmentation entraîne une diminution sensible l'épaisseur de couche perturbée tout en augmentant le taux de gravure. Quantitativement, cette étude montre que des plasmas caractérisés par de faibles énergies ioniques (< 15 eV) ou des rapports Γ importants (⩾ 1000) permettre d'obtenir des couches réactives d'épaisseur sub-nanométrique (cf. plasmas basse Te ou synchronisés pulsés). En mode "bias pulsé", les simulations montrent que pour une valeur Vbias donnée, pulser le bias permet de diminuer à la fois l'épaisseur de couche réactive et le taux de gravure. Cet effet est d'autant plus marqué que le rapport de cycle DC est faible, ce qui élargit la fenêtre des paramètres opératoires. Pour contrôler la gravure, une autre solution pourrait consister à contrôler l'épaisseur des couches réactives de manière dynamique. Inspiré de l'ALE (Atomic Layer Etching), ce nouveau concept consiste à pulser rapidement et alternativement différents gaz pour décomposer le procédé de gravure en cycles répétitifs de deux étapes plasma distinctes. La 1ère étape vise à limiter la formation de la couche mixte à 1nm d'épaisseur dans un plasma réactif (Cl2) en optimisant le temps d'injection du gaz; la 2nde étape vise à graver la couche ainsi formée dans un plasma de gaz rare (Ar, Xe) sans endommager le matériau sous-jacent. Nos simulations confirment la faisabilité et la répétabilité d'un tel concept. / This thesis focuses on technological challenges associated with the etching of ultrathin materials used for new generations of transistors (FDSOI, FinFET) in advanced nanoelectronics devices. These transistors must be etched with a nanometric precision in order to preserve the electronic properties of active layers. To reach such a precision, plasma-induced damage and reactive layers thicknesses formed during the etch must remain below 1nm, a challenge which cannot be addressed by continuous-waves ICP plasmas. To assist the development of new etching processes, molecular dynamics simulations have been developed to study the influence of new plasma technologies (pulsed plasmas, low-Te plasmas, gaz pulsing) on interactions between silicon and chlorine plasmas. Simulations show that the key parameter to control the etching of ultrathin Si layers is the ion energy (Eion), which lowers both the SiClx damaged layer thickness and the etch rate when it is decreased. The neutral-to-ion flux ratio (Γ) is the second key parameter: its increase strongly reduces the reactive layer thickness while the etch rate grows. Quantitatively, this study shows that plasmas with low ion energies (< 15 eV) and high Γ ratios (⩾ 1000) allow to obtain sub-nanometer thick reactive layers (cf. low-Te or synchronized pulsed plasmas). In « pulsed bias » mode, simulations show that for a given Vbias value, pulsing the bias decreases both the reactive layer thickness and the etch rate. This effect is stronger at low duty cycle DC, which can improve the control of the etching process. To control the etching of ultrathin films, another solution may be to control dynamically the reactive layers formation. Inspired from ALE (Atomic Layer Etching) principle, this new concept consists in pulsing quickly and alternatively several gases to divide the etching process into repetitive cycles of two distinct plasma steps. The first step aims to limit the mixed layer formation at 1nm in a reactive (Cl2) plasma by optimizing the gas injection time; the 2nd step aims to remove the so-formed layer in a noble gas plasma (Ar, Xe) without damaging the material below. Our simulations confirm the feasibility and the repeatability of such a concept.
24

Défis liés à la réduction de la rugosité des motifs de résine photosensible 193 nm

Azar-nouche, Laurent 04 July 2012 (has links) (PDF)
A chaque nouvelle étape franchie dans la réduction des dimensions des dispositifs en microélectronique, de nouvelles problématiques sont soulevées. Parmi elles, la fluctuation de la longueur de la grille des transistors, aussi appelée rugosité de bord de ligne (LWR, pour "Line Width Roughness"), constitue l'une des principales sources de variabilité. Afin d'assurer le bon fonctionnement des transistors, le LWR doit être inférieur à 2 nm pour les futurs noeuds technologiques. Dans ce contexte, la caractérisation précise de la rugosité à l'échelle nanométrique est essentielle mais se heurte aux limitations des équipements de métrologie. En effet, à ces dimensions, le bruit de mesure des équipements ne peut être ignoré. Afin de pallier à ce problème, un protocole permettant de s'affranchir du niveau de bruit des équipements de métrologie a été développé dans la première partie de cette thèse. Il s'appuie sur l'utilisation de la densité spectrale de puissance de la rugosité, basée sur une fonction d'autocorrélation de type "fractal auto-affine". Un bruit "blanc" a été inclus dans le modèle théorique, permettant l'ajustement des données expérimentales. La seconde problématique concerne la rugosité élevée des motifs des résines 193 nm qui est transférée dans la grille lors des étapes successives de gravure. Pour résoudre cette difficulté, des traitements plasma sur résines ont été envisagés dans la seconde partie de cette étude. Des analyses physico-chimiques des résines exposées aux traitements plasma nous ont permis de montrer que les UV émis par les plasmas lissent considérablement les flancs des résines. En contrepartie, la formation d'une couche "dure" autour des motifs avec certains plasmas (HBr et Ar) contribue à leur dégradation. De nouvelles stratégies ont également été examinées. Les traitements plasma ont été combinés à des recuits thermiques dans le but d'additionner leurs avantages. Finalement, un plasma de H2 semble être prometteur puisqu'il ne génère pas de couche superficielle sur les motifs de résine, et l'action des UV réduit considérablement la rugosité. En combinant ce traitement avec un recuit thermique, il est possible d'atteindre des rugosités de 2.4 nm dans la grille finale.
25

Développement et fabrication de transistors couches minces verticaux en technologie silicium polycristallin basse température

Zhang, Peng 18 December 2012 (has links) (PDF)
This work deals with the development of vertical thin film transistors (VTFTs) via the fabrication processes and the analysis of the electrical characteristics. The low-temperature (T ≤ 600°C) polycrystalline silicon technology is adopted in the fabrication processes. The first step of the work consists in the fabrication and characterization of VTFTs obtained by rotating the lateral thin film transistors (LTFTs) 90°. The feasibility of VTFTs fabrication is validated with an ION/IOFF ratio of about 10³, and it is analyzed that the large overlapping area between source and drain leads to a large off-current IOFF. The second step of the work lies in the partial suppression of the large overlapping area, and therefore, an ION/IOFF ratio of almost 10⁵ is obtained. The third step of the work deals with the proposal of a new VTFT structure that absolutely eliminates the overlapping area. Different improvements have been made on this new VTFT structure, especially by optimization of the following parameters: the active layer thickness, type and thickness of the barrier layer, and the geometric dimension. The optimized transistor highlights an ION/IOFF ratio of higher than 10⁵ with a reduced off-current IOFF, high stability and good reproducibility. P and N-type VTFTs have also been fabricated and showed symmetrical electrical characteristics; they are thus suitable for CMOS-like VTFT applications.
26

Etude fondamentale des mécanismes physico-chimiques de gravure plasma basés sur les effets stériques et de diffusion. Comportements prévisionnels de la gravure des éléments de la colonne IV et des composés III-V par les halogènes : loi de similitude

Phan, Thanh Long 23 October 2013 (has links) (PDF)
L'objectif de ce travail porte sur la généralisation de la modélisation de la gravure du silicium dans les plasmas de fluor ou de chlore à celle de la gravure des éléments de la colonne IV et des composés III-V de structure cristalline de type diamant ou zinc-blende dans les plasmas d'halogènes, i.e. fluor, chlore, brome et iode. Dans ce contexte, les effets stériques et de diffusion en volume et/ou en surface en constituent les problématiques principales. Cette généralisation s'appuie sur le modèle de gravure de Petit et Pelletier qui, par rapport aux modèles antérieurs, prend en compte un certain nombre d'hypothèses distinctes ou additionnelles telles que les interactions répulsives entre adatomes d'halogènes proches voisins, les mécanismes de Langmuir-Hinshelwood pour la formation des produits de réaction, la nature mono-couche ou multi-couches de l'adsorption, et la diffusion des adatomes en surface. Les effets stériques relatifs à la diffusion des atomes d'halogènes à travers les surfaces (100) des structures cristallines des éléments de la colonne IV et des composés III-V définissent une première loi de similitude entre la maille du réseau cristallin et le rayon ionique de Shannon des atomes d'halogènes concernant leurs conditions de diffusion en volume. Cette loi se traduit par un diagramme prévisionnel, commun aux éléments de la colonne IV et aux composés III-V, délimitant les systèmes de gravure de types mono-couche et multi-couches. Les effets stériques relatifs aux mécanismes réactionnels de gravure sur les surfaces (100) aboutissent à des secondes lois de similitude entre la maille du réseau et le rayon covalent des adatomes d'halogènes caractérisant la nature de la gravure : gravure isotrope, gravure anisotrope, ou absence de gravure. Ces lois de similitude, distinctes pour les éléments de la colonne IV et les composés III-V (stœchiométrie différente des produits de réaction), se traduisent par deux diagrammes prévisionnels délimitant les différents domaines de gravure. Les diagrammes prévisionnels pour les éléments de la colonne IV ont pu être validés, d'une part, à partir des résultats expérimentaux antérieurs, et, d'autre part, en l'absence de données, à partir d'études expérimentales complémentaires : gravure de Si et Ge en plasma de brome et d'iode, gravure de Sn en plasma d'iode.
27

Vers une gravure plasma de précision nanométrique : simulations de dynamique moléculaire en chimie Si-Cl / Towards a nanometric precision etching in reactive plasmas : molecular dynamics simulations of Si-Cl interactions

Brichon, Paulin 10 March 2015 (has links)
Ce travail de thèse aborde le problème de la gravure de matériaux ultraminces pour la réalisation de nouvelles générations de transistors (FDSOI, FinFET) dans les dispositifs nanoélectroniques avancés. Ces transistors doivent être gravés avec une précision nanométrique pour ne pas endommager les propriétés électroniques des couches actives. Afin d'atteindre une telle précision, les dommages surfaciques et l'épaisseur des couches réactives formées lors de l'exposition plasma doivent être maintenus en-deçà du nanomètre, véritable défi auquel les plasmas ICP continus ne sont plus à même de répondre. Pour assister le développement de nouveaux procédés de gravure, des simulations de dynamique moléculaire ont été développées afin étudier l'influence de nouvelles technologies plasma (plasmas pulsés, plasma basse Te, gaz pulsés) sur les interactions entre silicium et plasmas chlorés. Les simulations montrent que l'énergie ionique (Eion) est le paramètre numéro un pour contrôler la gravure de couches de Si ultraminces, une diminution de l'énergie réduisant à la fois l'épaisseur de couche endommagée SiClx et le taux de gravure. Le rapport du flux de neutres sur flux d'ions (Γ) est le 2nd paramètre clé : son augmentation entraîne une diminution sensible l'épaisseur de couche perturbée tout en augmentant le taux de gravure. Quantitativement, cette étude montre que des plasmas caractérisés par de faibles énergies ioniques (< 15 eV) ou des rapports Γ importants (⩾ 1000) permettre d'obtenir des couches réactives d'épaisseur sub-nanométrique (cf. plasmas basse Te ou synchronisés pulsés). En mode "bias pulsé", les simulations montrent que pour une valeur Vbias donnée, pulser le bias permet de diminuer à la fois l'épaisseur de couche réactive et le taux de gravure. Cet effet est d'autant plus marqué que le rapport de cycle DC est faible, ce qui élargit la fenêtre des paramètres opératoires. Pour contrôler la gravure, une autre solution pourrait consister à contrôler l'épaisseur des couches réactives de manière dynamique. Inspiré de l'ALE (Atomic Layer Etching), ce nouveau concept consiste à pulser rapidement et alternativement différents gaz pour décomposer le procédé de gravure en cycles répétitifs de deux étapes plasma distinctes. La 1ère étape vise à limiter la formation de la couche mixte à 1nm d'épaisseur dans un plasma réactif (Cl2) en optimisant le temps d'injection du gaz; la 2nde étape vise à graver la couche ainsi formée dans un plasma de gaz rare (Ar, Xe) sans endommager le matériau sous-jacent. Nos simulations confirment la faisabilité et la répétabilité d'un tel concept. / This thesis focuses on technological challenges associated with the etching of ultrathin materials used for new generations of transistors (FDSOI, FinFET) in advanced nanoelectronics devices. These transistors must be etched with a nanometric precision in order to preserve the electronic properties of active layers. To reach such a precision, plasma-induced damage and reactive layers thicknesses formed during the etch must remain below 1nm, a challenge which cannot be addressed by continuous-waves ICP plasmas. To assist the development of new etching processes, molecular dynamics simulations have been developed to study the influence of new plasma technologies (pulsed plasmas, low-Te plasmas, gaz pulsing) on interactions between silicon and chlorine plasmas. Simulations show that the key parameter to control the etching of ultrathin Si layers is the ion energy (Eion), which lowers both the SiClx damaged layer thickness and the etch rate when it is decreased. The neutral-to-ion flux ratio (Γ) is the second key parameter: its increase strongly reduces the reactive layer thickness while the etch rate grows. Quantitatively, this study shows that plasmas with low ion energies (< 15 eV) and high Γ ratios (⩾ 1000) allow to obtain sub-nanometer thick reactive layers (cf. low-Te or synchronized pulsed plasmas). In « pulsed bias » mode, simulations show that for a given Vbias value, pulsing the bias decreases both the reactive layer thickness and the etch rate. This effect is stronger at low duty cycle DC, which can improve the control of the etching process. To control the etching of ultrathin films, another solution may be to control dynamically the reactive layers formation. Inspired from ALE (Atomic Layer Etching) principle, this new concept consists in pulsing quickly and alternatively several gases to divide the etching process into repetitive cycles of two distinct plasma steps. The first step aims to limit the mixed layer formation at 1nm in a reactive (Cl2) plasma by optimizing the gas injection time; the 2nd step aims to remove the so-formed layer in a noble gas plasma (Ar, Xe) without damaging the material below. Our simulations confirm the feasibility and the repeatability of such a concept.
28

Développement de procédés de gravure plasma innovants pour les technologies sub-14 nm par couplage de la lithographie conventionnelle avec l'approche auto-alignée par copolymère à blocs / Development of innovating plasma etching processes for sub 14nm nodes by coupling conventionnal lithography with auto aligned approach based on block copolymer

Bézard, Philippe 29 January 2016 (has links)
Le coût de la poursuite de la miniaturisation des transistors en-dessous de 14 nm demande l’introductionde techniques moins onéreuses comme l’approche auto-alignée par copolymères à blocs (DSA) combinéeà la lithographie 193 nm. Etudiée principalement pour des motifs de tranchées (pour les FinFETs)ou de cylindres verticaux (pour les trous de contact, c’est ce cas qui nous intéressera), le polystyrène-bpolyméthylmétacrylate(PS-b-PMMA) est un des copolymères à blocs les plus étudiés dans la littérature,et dont la gravure présente de nombreux défis dûs à la similarité chimique des deux blocs PS et PMMA.Proposer une solution à ces défis est l’objet de cette thèse.Dans notre cas où le PS est majoritaire, le principe est d’obtenir par auto-organisation des cylindresverticaux de PMMA dans un masque de PS. Le PMMA est ensuite retiré par solvant ou par plasma,les motifs ainsi révélés dans le PS peuvent être alors transférés en utilisant ce dernier comme masque degravure. Une couche de copolymères statistiques PS-r-PMMA neutralise les affinités du PS/PMMA avecle substrat et permet l’auto-organisation.Un des problèmes majeurs est le contrôle des dimensions ; traditionnellement, le PMMA est retiré paracide acétique et le PS-r-PMMA gravé par plasma d’Ar/O2 qui aggrandit le diamètre des trous (CD)en consommant lattéralement trop de PS. Des temps de recuit acceptables pour l’Industrie donnent ausommet du masque de PS une forme de champignon induisant une dispersion importante des diamètresmesurés (~4-5 nm).Nos travaux montrent que la dispersion de CD peut être corrigée par plasma en facettant le sommetdes motifs. Dans un premier temps, un procédé de retrait du PMMA par plasma continu de H2N2 a étédéveloppé afin de s’affranchir des problèmes induits par l’acide acétique et les plasmas à base d’O2. Cecia permis de révéler des défauts d’organisation non rapportés dans la littérature à notre connaissance : desfilms de PS de quelques nanomètres peuvent aléatoirement se trouver dans le domaine du PMMA et ainsibloquer la gravure de certains cylindres. Afin de graver ces défauts sans perdre le contrôle des dimensions,un procédé composé d’un bain d’acide acétique et d’un plasma synchronisé pulsé de H2N2 à faible rapportde cycle et à forte énergie de bombardement a été mis au point. Il permet de retirer le PMMA, facetterle sommet du PS (ce qui réduit la dispersion de CD à moins de 2 nm), graver les défauts et la couche deneutralisation tout en limitant l’agrandissement des trous à moins d’un nanomètre. La dernière difficultévient des dimensions agressives et du rapport d’aspect important des trous de contact gravés. Afin delimiter la gravure latérale et la consommation des masques, des couches de passivation sont déposées surles flancs des motifs pendant la gravure mais à des échelles inférieures à 15 nm, ces couches de quelquesnanomètres sont trop épaisses et nuisent au contrôle des dimensions. Les plasmas doivent être alors moinspolymérisants et la création d’oxydes sur les flancs par ajout d’O2 doit être évitée.Enfin, les techniques de détermination des dimensions à partir d’images SEM ne sont plus assezrobustes à ces échelles. Afin d’en améliorer la robustesse, des algorithmes de reconstruction d’image etd’anti-aliasing ont été implémentés. / Shrinking transistor’s dimensions below 14 nm is so expensive that lower-cost complementary techniquessuch as Directed Self-Assembly (DSA) combined with 193 nm-lithography are currently beingdeveloped. Either organized as trenches for the FinFET’s fin or vertical cylinders for contact holes(which is our case study), Polystyrene-b-polymethylmetacrylate (PS-b-PMMA) is a well-studied blockcopolymer but introduces challenging etching issues due to the chemical similarities between the PS andPMMA blocks. The aim of this thesis is to overcome those etching challenges.In our case where PS is the dominant phase, the principle of DSA is to obtain through self-assemblya pattern of vertical cylinders of PMMA inside a mask constituted of PS. PMMA is then removed eitherby solvent or plasma, revealing the patterns in the PS mask, which will be used as an etching mask forpattern transfer. In order to allow self-assembly, a thin brush layer of random copolymers PS-r-PMMAis used to neutralize the affinity of each phase with the substrate.One of the main issues with DSA is the control of the dimensions (CD control): usually, PMMAis dissolved in acetic acid bath and the brush layer is etched by an Ar/O2 plasma which increasesdramatically the pore’s diameter (CD) by laterally etching the PS. Short duration of thermal annealingsuitable for the Industry induces some “mushroom” shape at the top of the mask which consequentlyincreases the measured CD dispersion (~ 4-5 nm).Our work shows that CD uniformity can be corrected by faceting the top of the patterns throughplasma etching. As a first step, a dry-etch process for PMMA based on H2N2 chemistry has beendeveloped in order to free ourselves from acetic-acid’s and O2-based plasma’s issues. As far as we know,the discovered kind of defects has never been reported in the literature: few nanometer-thick films madeof PS can randomly be found in the PMMA’s domain, thus delaying the etching of random cylinders. Inorder to etch those defects without loosing the CD control, an other process constituted of an acetic acidbath followed by a synchronously-pulsed H2N2 plasma at low duty cycle and high bias power has beendeveloped. This process removes PMMA, facets the top of the PS features (decreasing CD dispersionbelow 2 nm), etches both the defects mentionned above and the brush layer without increasing thepores’ diameters by more than one nanometer. One last etching challenge comes from the aggressivedimensions and the high aspect ratio of the contact holes. In order to limit the lateral etching and themask consumption overall, passivation’s layer are usually deposed on the sidewall of the features duringthe etching process, but at dimensions below 15 nm, those layers are too thick and cause a CD control lossthough they are only few-nanometer thick. The polymerization’s capacity of plasmas has to be loweredat this scale and oxidized layer’s formation by adding O2 to the plasma chemistry has to be avoided.Last but not least, the techniques based on SEM images to determine the pore’s dimensions are notrobust enough at those scales. In order to gain in robustness, image reconstruction and anti-aliasingalgorithm have been implemented.
29

Développement d'une nouvelle technique d'analyse pour les nanosctructures gravées par plasmas : (S)TEM EDX quasi in-situ / Development of a new analysis technique for plasma etched nanostructures : Quasi in-situ TEM EDX characterization

Serege, Matthieu 14 December 2017 (has links)
Avec la diminution perpétuelle des dimensions des circuits intégrés, la gravure de dispositifs à l’échelle nanométrique constitue un véritable défi pour les procédés plasma qui montrent des limites dans le cas d’empilement de couches minces notamment. L’anisotropie de gravure réside sur la formation de couches de passivations sur les flancs des motifs, qui agissent comme film protecteur bloquant la gravure latérale par les radicaux du plasma. Cependant, cette fine couche est responsable de l’apparition de pente dans les profils gravés et il est difficile de contrôler son épaisseur. De plus, une deuxième couche réactive est aussi formée en fond de motifs. Les produits de gravures sont formés au sein de cette couche permettant d’augmenter la vitesse de gravure du substrat. Il a récemment été admis que contrôler l’épaisseur de ces couches réactives constitue le paramètre clé pour obtenir une gravure à très haute sélectivité.Cependant, les couches réactives à analyser hautement réactives, en raison de leur forte concentration en halogènes, s’oxydent immédiatement lors de la remise à l’air.Cette étude se propose de développer une approche originale, simple et extrêmement puissante pour observer ces couches de passivation quasi in-situ (sans contact avec l’air ambiant) : Apres gravure, l’échantillon est transporté sous vide à l’intérieur d’une valise spécifique jusqu’à une enceinte de dépôt, où il est alors encapsulé par une couche métallique (PVD assisté par magnétron). L’échantillon ainsi encapsulé peut être observé ex-situ sans modification chimique grâce à des analyses STEM EDX. / As the size of integrated circuit continues to shrink, plasma processes are more and more challenged and show limitations to etch nanometer size features in complex stacks of thin layers. The achievement of anisotropic etching relies on the formation of passivation layers on the sidewalls of the etched features, which act like a protective film that prevents lateral etching by the plasma radicals. However, this layer also generate a slope in the etch profile and it’s difficult to control its thickness. Another thin layer called “reactive layer” is also formed at the bottom of the features. Etch products are formed in this layer allowing a high etch rate of the substrate. It starts to be realized that controlling the thickness of this reactive layer is the key to achieve very high selective processes.However, the layers to be analyzed are chemically highly reactive because they contain large concentrations of halogens and they get immediately modified (oxidized) when exposed to ambient atmosphere.In this work we develop an original, simple and extremely powerful approach to observe passivation layers quasi in-situ (i.e. without air exposure): After plasma etching, the wafer is transported under vacuum inside an adapted suitcase to a deposition chamber where it is encapsulated by a metallic layer (magnetron sputtering PVD). Then, the encapsulated features can be observed ex situ without chemical / thickness modification thanks to (S)TEM-EDX analysis.
30

Développement de procédés de gravure plasma sans dommages pour l'intégration de l'InGaAs comme canal tridimensionnel de transistor nMOS non-planaire / Development of damage free plasma etching processes for the integration of InGaAs as non-planar nMOS transistor tridimensional channel

Bizouerne, Maxime 20 April 2018 (has links)
L’augmentation des performances des dispositifs de la microélectronique repose encore pour une dizaine d’années sur une miniaturisation des circuits intégrés. Cette miniaturisation s’accompagne inévitablement d’une complexification des architectures et des empilements de matériaux utilisés. Au début de cette thèse, une des voies envisagées pour poursuivre la miniaturisation était de remplacer, dans une architecture finFET, le canal en silicium par un semi-conducteur à plus forte mobilité électronique, tel que l’In0,53Ga0,47As pour les transistors nMOS. Une étape essentielle à maitriser dans la fabrication des transistors finFET à base d’InGaAs est celle de la gravure plasma qui permet d’élaborer l’architecture du canal. En effet, pour assurer un fonctionnement optimal du transitor, il est primordial que les procédés de gravure ne génèrent pas de défauts sur les flancs du canal tels que la création de rugosité ou une perte de stœchiométrie. L’objectif principal de cette thèse est ainsi de réaliser la structuration du canal 3D d’InGaAs par gravure plasma en générant un minimum de défaut sur les flancs. Pour cela, nous avons évalué trois stratégies de gravure. Des premières études ont visé le développement de procédés de gravure en plasmas halogénés à température ambiante (55°C). De tels procédés conduisent à des profils pentus et rugueux du fait de redépôts InClx peu volatils sur les flancs des motifs. Dans un second temps, des procédés de gravure en plasma Cl2/CH4 à haute température (200°C) ont été étudiés et développés. Des motifs anisotropes et moins rugueux ont pu être obtenus, grâce à la volatilité des produits InClx et à la présence d’une passivation des flancs de type SiOx. Enfin, un concept de gravure par couche atomique, qui consiste à alterner deux étapes de procédé au caractère autolimité, a été étudié. Une première étape d’implantation en plasma He/O2 qui permet une modification de l’InGaAs sur une épaisseur définie suivie d’une étape de retrait humide en HF. Pour ces trois stratégies de gravure, une méthodologie permettant de caractériser de manière systématique les défauts engendrés sur les flancs a été mise en place. La spectroscopie Auger a permis d’accéder à la stœchiométrie des flancs tandis que la rugosité a été mesurée par AFM. Les résultats issus de la caractérisation des flancs des motifs gravés ont alors montré la nécessité de mettre en œuvre des procédés de restauration de surface. Un procédé combinant une étape d’oxydation par plasma de la surface d’InGaAs suivi d’un retrait par voie humide de la couche oxydée a ainsi été proposé. Ce traitement permet effectivement de diminuer la rugosité des flancs des motifs mais a accentué un enrichissement en arsenic déjà présent après les procédés de gravure. / Increasing the performance of transistors for the next decade still relies on transistor downscaling which is inevitably accompanied by an increasing complexity of the architectures and materials involved. At the beginning of this thesis, one strategy to pursue the downscaling was to replace, in a finFET architecture, the silicon channel with high-mobility semiconductor, such as In0,53Ga0,47As for the nMOS transistors. The patterning of the channel architecture by plasma etching is an essential step to overcome in the fabrication of InGaAs-based finFET transistors. Indeed, to ensure optimal performances of the device, it is crucial that the plasma etching process do not generate defects on the channel sidewalls such as a loss of stoichiometry and roughness formation. Thus, the major aim of this thesis is to pattern the 3D InGaAs channel by plasma etching with minimal sidewalls damage. For this, we investigated three plasma etching strategies. First, this work focused on the development of plasma etches process with halogen chemistries at ambient temperature (60°C). Such process leads to sloped and rough patterns due to the redeposit of low volatile InClx etch by products. Secondly, Cl2/CH4 plasma etching processes at high temperature (200°C) have been studied and developed. Anisotropic and relatively smooth patterns can be obtained using such plasma process thanks to enhanced volatility of InClx products and a SiOx sidewall passivation formation. Finally, an atomic layer etching concept has been investigated to pattern InGaAs with minimal damage. This concept consists in alternating two self-limited steps: first, an implantation step using He/O2 plasma modifies the InGaAs surface to a limited thickness. Then, the modified layer is removed by HF wet. For all these etching strategies, a methodology was implemented to perform a systematic characterization of the damage generated on the sidewalls. The Auger spectroscopy was used to determine the sidewall stoichiometry while the sidewall roughness is measured by AFM. The results from the sidewall characterizations revealed the necessity to implement a surface restoration process. It consists in oxidizing the InGaAs sidewalls with O2 plasma and to removed the oxidized layer with a HF step. This process was efficient to smooth the InGaAs pattern sidewalls but enhances an arsenic enrichment which was already present after the etching processes.

Page generated in 0.0568 seconds