• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 117
  • 38
  • 22
  • 8
  • 6
  • 1
  • Tagged with
  • 191
  • 88
  • 32
  • 31
  • 29
  • 28
  • 28
  • 26
  • 24
  • 22
  • 22
  • 21
  • 19
  • 17
  • 17
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
61

Modélisation des procédés pour la correction des effets de proximity en lithographie électronique / Process modeling for proximity effect correction in electron beam lithography

Figueiro, Thiago Rosa 19 January 2015 (has links)
Depuis l'apparition du premier circuit intégré, le nombre de composants constituant une puce électronique n'a cessé d'augmenter tandis que les dimensions des composants ont continuellement diminué. Pour chaque nouveau nœud technologique, les procédés de fabrication se sont complexifiés pour permettre cette réduction de taille. L'étape de lithographie est une des étapes la plus critique pour permettre la miniaturisation. La technique de lithographie qui permet la production en masse est la lithographie optique par projection. Néanmoins cette technologie approche de ses limites en résolution et l'industrie cherche de nouvelles techniques pour continuer à réduire la taille des composants. Les candidats sont l'écriture en plusieurs passes, la lithographie EUV, l'écriture directe, la nano-impression ou l'auto-organisation dirigée. Même si ces alternatives reposent sur des principes très différents, chacune a en commun l'utilisation de la lithographie électronique à un moment ou à un autre de leur réalisation. La lithographie électronique est sujette à des phénomènes spécifiques qui impactent la résolution finale, tels la diffusion des électrons, le « fogging », la diffusion d'acide, la CMP etc… La solution choisie par l'industrie pour tenir compte de tous ces phénomènes est de les prévoir puis de les compenser. Cette correction nécessite de les prédire à l'aide de modélisation, la précision de ces modèles décrivant les procédés étant primordiale. Dans cette thèse, les concepts de base permettant de développer un modèle sont présentés. L'évaluation de la qualité des données, la méthodologie de choix d'un modèle ainsi que la validation de ce model sont introduites. De plus, les concepts d'analyse de sensibilité locale et globale seront définis. L'état de l'art des stratégies utilisées ou envisagées pour les procédés lithographiques actuels ou futurs sont énoncés, chacune des principales étapes lithographiques étant détaillée. Les modèles tenant compte de la physique et de la chimie impactant sur la résolution après écriture par e-beam sont étudiés. De plus, les modèles compacts permettant de prédire les résultats obtenus par e-beam seront détaillés, pour finalement décrire les limitations des stratégies actuelles. De nouveaux modèles compactes sont proposés en introduisant de nouvelles familles de fonctions telles que les fonctions Gamma ou les fonctions de Voigt. De plus, l'utilisation des fonctions d'interpolations de type Spline sont également proposés. Un modèle résine d'utilisation souple a également été développé pour tenir compte de la plupart des comportements expérimentaux observés en évaluant les dimensions de motifs d'un dessin en utilisant des métriques appropriés. Les résultats obtenus en utilisant de telles méthodes montrent une amélioration de la précision de la modélisation, notamment en ce qui concerne les motifs critiques. D'autres modèles spécifiques permettant de décrire les effets d'extrême longue portée ou permettant de compenser les déviations entre deux procédés sont également décrits dans ce travail. Le choix du jeu de motifs de calibration est critique pour permettre à l'algorithme de calibration d'obtenir des valeurs robustes des paramètres du modèle. Plusieurs stratégies utilisées dans la littérature sont brièvement décrites avant l'introduction d'une technique qui utilise l'analyse de sensibilité globale basée sur la variance afin de sélectionner les types de géométries optimales pour la calibration. Une stratégie permettant la sélection de ces motifs de calibration est détaillée. L'étude de l'impact du procédé et des incertitudes de mesures issue de la métrologie est également abordée, ce qui permet d'énoncer les limites à attendre du modèle sachant que les mesures peuvent être imprécises. Finalement, des techniques permettant de s'assurer de la qualité d'un modèle sont détaillées, telle l'utilisation de la validation croisée. La pertinence de ces techniques est démontrée pour quelques cas réel. / Since the development of the first integrated circuit, the number of components fabricated in a chip continued to grow while the dimensions of each component continued to be reduced. For each new technology node proposed, the fabrication process had to cope with the increasing complexity of its scaling down. The lithography step is one of the most critical for miniaturization due to the tightened requirements in both precision and accuracy of the pattern dimension printed into the wafer. Current mass production lithography technique is optical lithography. This technology is facing its resolution limits and the industry is looking for new approaches, such as Multi-patterning (MP), EUV lithography, Direct Write (DW), Nano-imprint or Direct Self-Assembly (DSA). Although these alternatives present significant differences among each other, they all present something in common: they rely on e-beam writers at some point of their flow. E-beam based lithography is subject to phenomena that impact resolution such as are electron scattering, fogging, acid diffusion, CMP loading, etc. The solution the industry adopted to address these effects is to predict and compensate for them. This correction requires predicting the effects, which is achieved through modeling. Hence the importance of developing accurate models for e-beam process. In this thesis, the basic concepts involving modeling are presented. Topics such as data quality, model selection and model validation are introduced as tools for modeling of e-beam lithography. Moreover, the concepts of local and global sensitivity analysis were also presented. Different strategies of global sensitivity analysis were presented and discussed as well as one of the main aspects in its evaluation, which is the space sampling approach. State-of-the-art strategies for todays and future lithography processes were presented and each of their main steps were described. First Principle models that explain the physics and chemistry of the most influential steps in the process resolution were also discussed. Moreover, general Compact models for predicting the results from e-beam lithography were also presented. Finally, some of the limitations of the current approach were described. New compact models described as Point-Spread-Function (PSF) are proposed based on new distributions, such as Gamma and Voigt. Besides, a technique using Splines for describing a PSF is also proposed. Moreover, a flexible resist model able to integrate most of the observed behavior was also proposed, based on evaluating any pattern on the layout using metrics. Results using such method further improved the any of the PSF distribution approach on the critical features that were limiting the future technology nodes. Other specific models and strategies for describing and compensating for extreme-long-range effects and for matching two different fabrication processes are also proposed and described in this work. The calibration layout is a key factor for providing the calibration algorithm with the experimental data necessary to determine the values of each of the parameters of the model. Several strategies from the literature were briefly described before introducing one of the main propositions of this thesis, which is employing variance-based global sensitivity analysis to determine which patterns are more suitable to be used for calibration. A complete flow for selecting patterns for a calibration layout was presented. A study regarding the impact of process and metrology variability over the calibration result was presented, indicating the limits one may expect from the generated model according to the quality of the data used. Finally, techniques for assuring the quality of a model such as cross-validation were also presented and demonstrated in some real-life situations.
62

Lithographie directe à faisceaux d’électrons multiples pour les nœuds technologiques sub-20nm / Multibeam lithography for sub20nm technological nodes

Jussot, Julien 28 September 2015 (has links)
Depuis de nombreuses années, l'industrie microélectronique s'est engagée dans une course à l'augmentation des performances et à la diminution des coûts de ses dispositifs grâce à la miniaturisation de ces derniers. La génération de ces structures de petites dimensions repose essentiellement sur l'étape de lithographie. Dans cette optique, plusieurs techniques de lithographie nouvelle génération (NGL) sont en cours de développement afin de pouvoir répondre aux besoins de l'industrie pour les nœuds technologiques inférieurs à 20 nm. Parmi elles, les solutions de lithographie à faisceaux d'électrons multiples semblent très prometteuses grâce à leur écriture directe sans masque (ML2), ainsi que leur coût et encombrement réduits. Le CEA-LETI s'est associé à l'entreprise Mapper Lithography basée aux Pays-Bas afin d'aider au développement d'une technologie de lithographie électronique à faisceaux d'électrons multiples basse énergie (d'énergie 5 keV). Les travaux de thèse de ce manuscrit visent à contribuer au développement de cette technologie qui pourrait à terme permettre de réaliser des dispositifs CMOS pour les nœuds technologiques actuels et futurs. L'intégration d'une nouvelle technique de lithographie dans l'industrie repose sur 3 grands critères du procédé lithographique, la production horaire (sensibilité), la résolution (taille minimale des structures réalisées) et la rugosité de ligne. La rugosité de ligne est devenue l'un des paramètres les plus critiques limitant à l'heure actuelle la miniaturisation et pour cause cette dernière impacte de manière négative les performances des dispositifs. Alors que l'ITRS préconise une rugosité de ligne inférieure à 1.7 nm pour les futurs nœuds technologiques inférieurs à 20 nm, les lithographies actuelles ne permettent pas d'obtenir des rugosités inférieures à 4-5 nm. Les travaux de cette thèse visent la minimisation de la rugosité de ligne de résine imprimée par lithographie électronique en proposant des stratégies alternatives d'écriture ou en modifiant les empilements de matériaux sous-jacents la résine, ou encore par l'introduction de traitements post-lithographiques tels que des recuits thermiques ou des traitements plasma. Les études ont montré qu'en combinant une stratégie d'écriture et un traitement plasma à base de dihydrogène une réduction de 41% du LWR pouvait être obtenue. / For decades, the growth of the Semiconductor Industry (SI) has been driven by the paramount need for faster devices at a controlled cost primarily due to the shrinkage of chip transistors. The performances of future CMOS technology generations still rely on the decrease of the device dimensions. However, the photolithography is, today, the limiting factor for pattern miniaturization and the technology has been at a standstill since the development of 193-nm water-based immersion lithography. Moreover, another parameter limiting further semiconductor scaling is the transistor gate linewidth roughness (LWR), i.e. the standard deviation of the gate critical dimension (CD) along the line. The LWR needs to be controlled at the nanometer range to ensure good electrical performances of the future CMOS device. The lithography step is again identified as the root cause of the gate LWR. Indeed, the significant LWR (4-5 nm) of the patterns printed by photolithography is transferred into the gate during the subsequent plasma etching steps, resulting in a final gate LWR far above the sub-2 nm LWR targeted for the sub-20 nm technological nodes. In order to continue scaling down feature sizes of devices, the semiconductor industry is waiting for the maturity of next generation lithographies (NGL). Among NGL, one can find the promising mask-less direct-write techniques (ML2) in which multiple electron beam lithography (multibeam lithography) is regarded as a serious candidate for providing high resolution structures at a low cost. The firm MAPPER Lithography, associated with CEA-LETI is working on the development of such a technology. The aim of this work is to contribute to the development of a low energy (5 keV) multibeam technology and to focus on the improvement of the LWR of the printed patterns. Several process parameters have been investigated to decrease the LWR: the effect of a specific writing strategy, the influence of the under layers and the introduction of post-lithographic treatments such as plasma treatments or thermal annealing. This work has shown that by combining a biased writing strategy with H2 plasma treatment, a 41% LWR decrease could be obtained. Although this performance is still above the ITRS requirements, this work opens the pace for LWR optimization with multi-beam lithography.
63

Vers l'industrialisation de l'auto-assemblage dirigé des copolymères à blocs : développement de procédés de lithographie compatibles avec les noeuds technologiques sub-10 nm pour des applications de type contacts / Towards the industrialization of directed self-assembly of block copolymers : development of lithographic processes compatible with sub-10 nm technology nodes for contact applications

Bouanani, Shayma 06 October 2017 (has links)
La course à la compétitivité que se disputent les industriels du semi-conducteur implique d’augmenter le nombre de fonctionnalités par puce ainsi que de réduire leur coût unitaire, ce qui se traduit par une diminution continue de leur taille. Pour ce faire, le DSA (Directed Self-Assembly), ou auto-assemblage dirigé des copolymères à blocs associe les techniques de lithographie conventionnelle avec les propriétés d’organisation à l’échelle moléculaire des copolymères. Dans ce cadre, l’objectif global de cette thèse est d’évaluer le potentiel d’industrialisation du DSA par grapho-épitaxie pour des applications de type « shrink » et « multiplication » de contacts. Il s’agit en particulier de démontrer la capacité de cette technique à répondre au cahier des charges de l’ITRS en termes d’uniformité de CD, de désalignement et de taux de défauts. Une première étude concernant le « shrink de contact », basée sur l’impact des propriétés matériaux, d’affinité de surface et de tailles de guides permet de comprendre les mécanismes qui rentrent en jeu dans l’apparition de défauts d’assemblage. Une seconde partie de l’étude porte sur la multiplication de contact. Pour adresser cette application, deux types de guides ont été étudié : les guides elliptiques et les guides complexes dits « peanut ». L’étude de la fenêtre de procédé en termes de paramètres procédé comme le temps et la température de recuit, mais aussi de commensurabilité, a été menée. Une attention particulière a été portée sur l’impact de la variation du guide sur le pitch final obtenu en DSA, dont les données expérimentales ont été corrélées avec des résultats de simulation. Les critères de réussite sont basés sur les performances lithographiques qu’il faut juger à travers une métrologie de pointe. Le développement d’une métrologie spécifique pour mesurer l’erreur de placement des contacts ainsi que leur pitch a été conduite. / The competitiveness-chasing in which industrial manufactures are involved, leads to an exponential increase in the number of functionalities per chips, as well as reducing their unit cost, which results in a continuous decrease of their size. To achieve this, DSA (Directed Self-Assembly) of block copolymers, combines conventional lithography techniques with the molecular-scale organizational properties of copolymers. In this framework, the overall objective of this thesis is to evaluate the industrialization potential of the DSA process by graphoepitaxy for contact hole shrink and contact multiplication applications. In particular, it is necessary to demonstrate the ability of this technique to meet the ITRS specifications in terms of CD uniformity, misalignment and hole open yield. A first study on contact shrink, based on the impact of material properties, surface affinity and guiding feature size, allows us to understand the mechanisms involved in the appearance of defects. A second part of the study deals with contact multiplication. To address this application, two types of guides have been studied: elliptical guiding patterns and more complex ones called "peanut". The study of the process window in terms of process parameters such as annealing time and temperature, but also commensurability was conducted. Particular attention was paid to guide size variation and its impact on DSA final pitch. Experimental data from this study were correlated with simulations. The success criteria are based on the lithographic performances that must be judged through advanced metrology. The development of a specific metrology to measure the placement error of contacts as well as their pitch was conducted.
64

Nouvelles perspectives de métrologie dimensionnelle par imagerie de microscope électronique pour le contrôle de la variabilité des procédés de fabrication des circuits intégrés / New perspectives of dimensional metrology using electron microscope imaging for process variability control in integrated circuit manufacturing

Lakcher, Amine 09 July 2018 (has links)
Dans les noeuds technologiques avancés ainsi que les technologies dérivées, des règles de dessin de plus en plus aggressives sont nécessaires. Cela conduit à une complexification des structures dans les circuits intégrés actuels. De telles structures posent un défi important aux procédés de fabrication, notamment les étapes dites de patterning que sont la lithographie et la gravure. Afin d'améliorer et d'optimiser ces structures, les designers se basent sur les règles et connaissances qu’ont les ingénieurs de leurs procédés. Ces règles ont besoin d'être alimentées par des informations dimensionnelles et structurelles de plus en plus complexes : configurations de type bord arrondi, distance entre deux bouts de lignes, rétrecissement de ligne, etc. La métrologie doit évoluer afin que les ingénieurs soient capables de mesurer et quantifier les dimensions des structures les plus complexes dans le but d'estimer la variabilité de leur procédé. Actuellement la variabilité est principalement estimée à partir de données issues du suivi en ligne de structures simples car elles sont les seules à garantir une mesure robuste et reproductible. Mais, elles peuvent difficilement être considérées comme représentatives du procédé ou du circuit. Utiliser la métrologie par CD-SEM pour mesurer des structures complexes de manière robuste est un défi technique. La création de recettes de mesures est complexe, nécessite un temps non négligeable et ne garantit pas une mesure stable. Cependant, une quantité importante d'informations est contenue dans l'image SEM. Les outils d'analyses fournis par les équipementiers permettent aujourd'hui d'extraire les contours SEM d'une structure présente dans l’image. Ainsi, le CD-SEM prend des images et la partie métrologie est réalisée hors ligne afin d'estimer la variabilité. Cette thèse vise à proposer aux ingénieurs de nouvelles possibilités de métrologie dimensionnelle afin de l’appliquer pour le contrôle des structures les plus complexes. Les contours SEM sont utilisés comme source d’information et exploités pour générer de nouvelles métriques. / In advanced technological nodes as well as derived technologies, aggressive design rules are needed. This leads to a complexity of structures in the current integrated circuits. Such structures pose a significant challenge to chip manufacturing processes, in particular patterning steps of lithography and etching. In order to improve and optimize these structures, designers need to rely on the rules and knowledge that engineers have about their processes. These rules need to be fed by complex dimensional and structural information: corner rounding, tip to tip distances, line end shortening, etc. Metrology must evolve so that engineers are able to measure and quantify the dimensions of the most complex structures in order to assess the process variability. Currently the variability is mainly quantified using data from the inline monitoring of simple structures as they are the only ones to guarantee a robust and reproducible measurement. But, they can hardly be considered as representative of the process or the circuit. Using CD-SEM metrology to measure complex structures in a robust way is a technical challenge. The creation of measurement recipes is complex, time consuming and does not guarantee a stable measurement. However, a significant amount of information is contained in the SEM image. The analysis tools provided by the equipment manufacturers allow to extract the SEM contours of a structure present in the image. Thus, the CD-SEM takes images and the metrology part is performed offline to estimate the variability.This thesis offers engineers new possibilities of dimensional metrology in order to apply it for process control of complex structures. SEM contours are used as a source of information and used to generate new metrics.
65

Détermination simultanée de la mise au point et de la dose d'un équipement de micro-lithographie optique / Simultaneous determination of focal plane and energy exposition of optical microlithography equipment

Spaziani, Nicolas 07 November 2012 (has links)
Les dimensions critiques des circuits intégrés diminuent continuellement au coursdes ans selon la loi de Moore. Les valeurs typiques sont aujourd’hui de 28nm,et seront de 22nm dans 18 mois. La photo-lithographie optique demeure encore latechnique la plus économique pour la production de masse. L’ouverture numériquedes objectifs atteint 1.30, grâce à l’introduction d’eau entre la lentille et la plaquette.La conséquence directe de ces grandes ouvertures est la réduction de la profondeurde champs de l’ordre d’une centaine de nanomètres. Le procédé photo-lithographiqueperdant de la latitude, le contrôle dimensionnel intra-cellule devient une nécessité.La variation dimensionnelle provient au premier ordre à la fois de la variation duplan focal dans le champ image, et aussi de la non uniformité de l’illumination duréticule. Pour contrôler cette variation, une boucle de régulation a été mise en placepour ajuster uniquement l’énergie des lots de production. On corrige ainsi de fait unmauvais focus par une compensation en énergie.Pour ne pas altérer l’image dans la résine, il est important de pouvoir dissocierles deux effets et adresser les causes de dégradation de l’image séparément. Le sujetde cette thèse est précisément de trouver un moyen de décorréler les deux paramètresaffectant l’uniformité de la dimension critique. L’idée principale est de trouver à lafois les motifs et les modèles théoriques pouvant conduire à discriminer des imagesselon leur sensibilité, soit au focus, soit à la dose / Following the ITRS roadmap, the critical dimension of the circuits are continuouslynarrowing. Optical Lithography still remains the cheapest way forintegrated circuits mass production. If the resists properties and the exposure wavelengthreduction had an important contribution to this result, the lens numericalaperture increase had a decisive impact. The numerical aperture is currently reaching1,30 thanks to the usage of water as immersion fluid between the lens andthe wafer. Future lens are targeting in a near future a 1,70 numerical aperture withimmersion fluids at higher refractive index. A direct consequence of these wider numericalaperture’s is the reduction of the depth of focus to few tens of nanometers,reducing the process windows and then the integrated circuits manufacturability. Inaddition the pure numerical aperture effect on focus, off axis illumination is leadingto amplify the reticle critical dimension variations, and the intrafield focus controlbecomes more and more crucial.The last scanner generation provides some tools to adjust the intrafield energy.As the two effects appear to compensate each of them critical dimension variation,it becomes very important to be able to dissociate the effect of one from the otherin order to select the most efficient mean to get the greater process windows. Moreover, the average value compensations must feed accurately the Run to Run feedback loop for the next exposed wafers.The purpose of this thesis is to find a way to un-correlate the various parametersaffecting the critical dimension uniformity. Some researchers tried to design specificfeatures whose shape modifications due to focus offset could be detected as an overlayerror measured by the appropriate tool, but the limitations seem to be actuallyreached. New tools, as scatterometers, could provide a more precise information.The desire output of this thesis would be to provide a methodology to allow an inline intrafield focus follow up for the future technologies at 20nm half pitch
66

Etude d’une lithographie ligne/espace innovante par auto-assemblage dirigé d’un copolymère à blocs pour la réalisation de dispositifs CMOS sub-20nm / Study of an innovative line/space directed self-assembly lithography of block copolymers for the conception of sub 20nm CMOS devices

Claveau, Guillaume 14 December 2017 (has links)
Dans le contexte d’une miniaturisation des circuits imprimés dans l’industrie de la microélectronique, les méthodes de structuration optiques appelées photolithographies arrivent en limite de résolution. L’utilisation complémentaire de l’auto-assemblage dirigé (DSA) de copolymère à blocs (CPB) permet de repousser les dimensions critiques (CD) atteignables tout en multipliant la densité des structures obtenues. Ces matériaux peuvent en effet former des motifs géométriques biphasés et périodiques de dimensions inférieures à la vingtaine de nanomètre. Rapides, bas coût et compatibles avec les équipements déjà disponibles dans l’industrie, les différents procédés DSA pour application ligne/espace développés dans la littérature se heurtent néanmoins à des problématiques de défectivité, de rugosité et d’uniformité des dimensions transférées. La plupart des solutions envisagées se font au détriment des arguments de base en faveur du DSA, notamment en ce qui concerne sa compatibilité avec la lithographie actuellement utilisée dans l’industrie. Dans ce contexte, le travail de thèse présenté ici s’attachera à étudier et solutionner les différentes problématiques liées à l’utilisation en graphoépitaxie du DSA comme solution complémentaire à la lithographie conventionnelle. Cette thèse centrée sur le matériau PS-b-PMMA s’est tout d’abord attachée à la compréhension des mécanismes impliqués dans la structuration de ces domaines lamellaires dans deux environnements. En configuration plane tout d’abord, l’impact des paramètres dictant la morphologie, l’orientation et la défectivité du CPB est étudié. La cinétique d’organisation des micro domaines peut alors être optimisée pour obtenir des motifs les mieux définis et les plus stables possibles, en un minimum de temps. Des optimisations matériaux proposées par la société partenaire ARKEMA sont évaluées comme prometteuses, et l’importance du contrôle de l’atmosphère sur le comportement du CPB en température est étudiée. En utilisant ces premiers acquis, le matériau est utilisé pour réaliser la densification de motifs « guides » ligne/espace préalablement réalisés par lithographie optique. L’étude de la morphologie adoptée par le polymère en fonction des multiples paramètres du guide (dimension, chimie d’interface, taux de remplissage…) permet de délimiter des fenêtres de fonctionnement pour un procédé stable sur plaque 300mm. La dimension de ces fenêtres est confirmée par une étude statistique suivant les métriques de défectivité et de rugosité, mesurées par un protocole de métrologie développé pendant cette thèse. Cette première étude a fait l’objet d’une publication d’un papier. Dans un effort de démonstration de l’intérêt de ce procédé, son intégration dans un empilement de réalisation de transistors en nanofils est réalisée. De premiers essais de transfert révèlent des problématiques de défectivités locales jusqu’alors masquées par l’épaisseur du film. Les méthodes de transferts disponibles étant incapables de corriger ces défauts, une variante du procédé DSA est développée. Elle repose sur la possibilité de modifier sélectivement les énergies de surfaces par application d’une dose contrôlée de lumière UV. Une étude associant à la fois la modification de la morphologie du PS-b-PMMA et la composition du matériau (suivie par spectroscopie infrarouge) en fonction de cette dose d’insolation révèle qu’un phénomène de photo-oxydation est responsable de ces phénomènes. Grâce à cette méthode, qui fait l’objet d’une publication en cours de soumission, les surfaces directement en contact avec le CPB sont modifiées de façon à le contraindre à adopter des configurations morphologiques sans défauts enterrés. Ce résultat est confirmé par les différentes étapes de transfert qui permettent de réaliser les nanofils désirés avec des dimensions maîtrisées. Des problématiques d’uniformité de remplissage sont toutefois adressées car elles restent un obstacle des nanofils uniformes à travers une plaque 300mm. / There is a fixed limit to the maximum resolution the photolithography can provide in the context of the integrated circuit’s size reduction encouraged by the microelectronic industry. The Directed Self-Assembly (DSA) of bloc copolymers (BCP) can be used as a complementary technique enabling smaller critical dimensions of features (CD) obtained by density multiplication of initial, loose i193 lithography patterns. These materials can undergo specific phase separation to self-assemble into periodic, sub-20nm ordered nanostructures.Fast, cost-efficient and highly compatible with equipment and techniques already in use in the industry for line/space (L/S) applications, the different DSA processes found in literature still suffer from defectivity, roughness and CD uniformity (CDU) issues. Most successful solutions are made possible at the loss of some of the most appealing DSA features, mainly its compatibility with current i193 lithography. In this context, the work of this thesis studied and proposed innovative solutions to the problematics posed when using graphoepitaxy as the DSA complementary technique.This work presented therein - revolving around a 38nm period lamellar PS-b-PMMA material - first tried to comprehend the mechanisms involved in the self-assembly of lamellae in one of two environment: flat configuration and 3D, graphoepitaxy configuration. In the former, a study of the parameters dictating the morphology, orientation and defect levels of the BCP was performed. This provides a mean to optimize the kinetics of self-assembly to last less than five minutes while enabling stable and reproducible morphology. Materials optimization and atmosphere composition’s impact during annealing is also discussed. This initial knowledge is then used to perform the density multiplication of L/S guiding pattern using conventional optical lithography at Leti. The study of the lamellae morphology as a function of the multiples guiding patterns’ parameters (CD, interface chemistries, thickness levels…) provides fixed process windows (PW) for a stable process over a 300mm wafer. The shape and size of these PWs is further confirmed by a statistic study of defectivity and roughness metrics as defined by a specific metrology protocol developed during this thesis. This work has led to the publication of a paper.In an effort to demonstrate its relevance in the industry, full integration of this DSA process is carried out in pursuit of functional stacked nanowire (NW) transistors acquisition. First etching tests failed though, as they revealed unknown defective formation of the lamellae at the buried interface. The etching process Leti available at Leti proved enable to compensate for these local variations of transfer features. Consequently, a new iteration of the DSA process is presented. It consists in using UV light exposure to selectively shift the interfacial energies of the guiding patterns’ surfaces. A study of the shift in both the observed lamellae morphology and the composition of the material (followed by Infrared Spectroscopy) as a function of the UV dosage is performed. It identifies a photo-oxidation mechanism which can be finely tuned to independently promote defect-free alignment of the BCP lamellae with any of the guiding pattern surfaces. This work, currently awaiting publication, is further verified by the different etching steps achieving monocrystalline silicon nanowires of controlled dimensions. The associated transistors are now being submitted to electrical characterization. Full wafer uniformity of features is a work in progress however, as BCP thickness filling of guiding patterns is still highly dependent on their density.
67

La lithographie par double impression pour les noeuds technologiques avancés / Double patterning lithography for advanced nodes technology

Zeggaoui, Nassima 21 October 2011 (has links)
La lithographie par double impression est une solution potentielle proposée pour l'impression des circuits des nœuds technologiques avancés (22nm et au-delà) en attendant que la lithographie Extrême Ultraviolet soit prête pour la production en masse. La technique de double impression est basée sur la décomposition en deux masques d'exposition des motifs d'un niveau donné du circuit intégré. Deux motifs voisins ayant un pas inférieur au pas minimal résolu en un procédé lithographique sont affiliés simultanément à deux masques différents. Les motifs ayant des pas supérieurs au pas critique, motifs non critiques, sont mis sur un masque ou sur un autre dans le but de générer une densité de motifs équivalente entre les deux masques d'exposition. Dans cette thèse, nous avons développé une nouvelle méthode de décomposition dite « décomposition optique ». Cette dernière est basée sur l'analyse de l'interaction des ordres de diffraction dans le plan de la pupille du système optique de projection. La décomposition optique permet d'améliorer l'affiliation des motifs non critiques à l'un des deux masques dans le but d'améliorer le contraste des deux masques lors de la double impression. Afin de valider cette nouvelle méthode de décomposition, nous l'avons appliqué au niveau contacts d'un circuit de logique du nœud 22nm. / As the lithography EUV is not yet ready to be used for semi-conductor business needs, the double patterning lithography is a promising solution to print sub 22nm node features. The principle of the double patterning is the pitch splitting also named as the coloring of a given circuit layer's features. Two adjacent features must be assigned opposite masks or opposite colors corresponding to different exposures, if their pitch is less than the minimum resolvable pitch. However, features with pitches larger than the critical one are not critical and could be assigned to one of the two masks for density balance. In this thesis, we developed a new split called “optical split” based on the diffractive orders analysis in the pupil plane. The optical split optimizes the non critical contacts affiliation to one of the two exposure masks. The goal of the optical split is to enhance the lithographic performances of the generated masks in order to improve the double patterning process printing. In order to validate the optical split, we apply it on contact layer of the 22nm node logic.
68

Diffusion résonante des rayons x mous dans la glace de spins artificielle / Resonant soft x-ray scattering on artificial spin ice

Perron, Jonathan 29 September 2014 (has links)
La frustration est un concept physique qui apparaît lorsque toutes les interactions d'un système ne peuvent être satisfaites en même temps. La glace de spins artificielle est un exemple de système magnétique frustré par la compétition entre interactions dipolaires. Jusqu'ici, les propriétés de ce métamatériau ont été étudiées à l'aide de techniques microscopiques. Ces dernières ne permettent qu'un accès limité à la dynamique du système. Cette dernière pourrait être étudiée par les techniques de diffusion qui ont une meilleure résolution temporelle.La diffusion magnétique résonante des rayons-x mous (SXRMS) est une technique qui a une résolution spatiale comparable avec les tailles standards de la glace de spins artificielle. Le but de cette thèse est de démontrer que cette technique peut apporter des informations intéressantes sur l'organisation magnétique. Elle est organisée en deux parties principales. Tout d'abord, la fabrication d'échantillon est décrite étape par étape. Ensuite, les études de la glace de spins carrée et kagome sont présentées. Des signaux d'origine magnétique ont été enregistré qui apportent une vision de l'organisation magnétique. L'interprétation de ces signaux est complexe à cause de l'espace réciproque. Le dernier chapitre présente une série de mesures utilisant des techniques non-microscopiques sur des systèmes avec des fluctuations magnétiques.Les différentes études présentées montrent que non seulement la glace de spin artificielle peut être étudiée par la diffusion et les autres techniques apportent des informations sur le système. Cette thèse ouvre la voie à des techniques plus avancées comme, dans le domaine des rayons X, l'illumination de l'échantillon avec un faisceau cohérent. Le travail présenté est par conséquent une première étape vers l'étude de la dynamique dans la glace de spins artificielle. / Frustration is a concept in physics which appears when all interactions in a system cannot be satisfied at the same time. Artificial spin ice is an example of a magnetic system that is frustrated by the competition between the dipolar interactions. So far, the properties of this metamaterial has been studied by means of microscopic techniques with the disadvantage of a limited dynamical range. At a time when dimensions of the nanomagnets allow the access to fast dynamics using magnetic fluctuations, the time-resolution of scattering techniques could make them relevant for such studies.Soft x-ray resonant magnetic scattering (SXRMS) is a magnetic-sensitive technique with a resolution compatible with the dimensions of artificial spin ice. The scope of this thesis is to demonstrate that SXRMS can bring relevant information about the magnetic organisation in artificial spin ice. It is organized in two parts. The fabrication of scattering-compatible artificial spin ice samples is described step by step. Then, the studies of both artificial square and kagome spin ice are presented. Signals of magnetic origin have been recorded, bringing insights in the magnetic organization of the system. While most of the studies have been performed on static systems, a small chapter is presenting a serie of studies using non-microscopic techniques which include systems with fluctuating magnetic moments.The different studies performed within this thesis demonstrate that artificial spin ice can not only be studied by microscopy techniques, but that scattering and non-microscopic methods are also relevant. In particular, it opens the way to more advanced experiments such as, in the x-ray domain, the illumination of the system with a coherent beam in order to resolve the nature of the very short magnetic correlations using x-ray photon correlation spectroscopy technique. The presented work is therefore a first step toward the study of dynamics in artificial spin ice.
69

Fabrication par masque de phase de réseaux de diffraction d'aire et de période ultimes / Phase mask diffraction grating printing of extreme area and period

Bourgin, Yannick 13 December 2010 (has links)
Cette thèse présente un banc d’écriture de réseaux de diffraction de grande surface dont la période peut varier de 100 nm à plusieurs micromètres. Le principe est basé sur l’écriture au vol qui permet d’écrire des longs réseaux en balayant continûment un substrat recouvert de résine photosensible sous un interférogramme de petite dimension créé par un masque de phase. Deux types de masques ont été fabriqués. Le premier, pouvant être décrit comme un interféromètre de type Mach-Zehnder monolithique, présente l’intérêt d’écrire des réseaux de grandes périodes sans limite supérieure. Il est composé de trois réseaux de diffraction, écrits sur la même face d’un substrat épais grâce à destechniques standards de lithographie (e-beam, gravure RIE) accessibles lors d’un échange à l’UEF àJoensuu. A la longueur d’onde 442 nm, ce masque a permis d’écrire un réseau de période de 2 µmde grande dimension à l’aide d’une nappe de lumière divergente. Le second type de masque est monolithique en matériau haut indice. Il est utilisé en immersion à la longueur d’onde de 244 nm; des réseaux de période de 100 nm ont été écrits. La structure capable de supprimer l’ordre zéro transmis a été modélisée et les masques ont été fabriqués par trois partenaires européens du réseau d’excellence NEMO. La gravure du LuAG a également été étudiée en vue de fabriquer un masque de phase pour la longueur d’onde 193 nm. Afin d’écrire des réseaux larges et homogènes, une étude des différentes techniques d’élargissement de faisceau a été réalisée en vue de disposer d’une ligne de lumière avec un profil d’intensité homogène dit « top-hat », et une méthode de fabrication d’un long masque de phase a été développée / This PhD thesis presents a bench capable to write highly coherent diffraction gratings on a large area (potentially one square meter) with periods varying from 100 nm to several micrometers. The strategy is based on the “write on the fly” method, which allows writing long and stitchingless gratings by scanning a photoresist-coated substrate under a small area interferogram generated by a phase mask. The main object of this thesis concerns the design of the phase-mask. Two different types have been developed. The first type can be described as a monolithic Mach-Zehnder interferometer comprising three diffraction gratings at the same side of a thick fused silica substrate. This approach has the advantage of writing large periods without any upper limitation. Standard lithography techniques (e-beam, RIE) have been used to fabricate the mask during a two months stay at UEF at Joensuu. At the wavelength of 442 nm, a large 2 µm period grating has been made with exposure by a divergent beam. The second type of mask is binary and made in a layer of high refractive index material. It has been used at the 244 nm wavelength and under immersion to write a 100 nm period grating. The modeling was performed to find the optimal structure capable of suppressing the zeroth transmitted order. The masks were made by three European partners within the Network of Excellence NEMO. The etching of LuAG has also been studied in view of making a 193 nm phase-mask. To write large and homogeneous gratings, various methods of beam expansion were compared to generate a light line with a homogeneous intensity profile (top-hat). Solutions for the fabrication of long phase-masks have also been demonstrated
70

Ecriture de motifs périodiques submicrométriques sur films TiO2 sol-gel par lithographie interférométrique dynamique sur de grandes surfaces / Direct writting of submicrometric periodic patterns on TiO2 solgel films by dynamic interference lithography over large surfaces

Gâté, Valentin 09 December 2013 (has links)
Cette thèse présente l’écriture de motifs périodiques 1D ou 2D, sur des films sol-gel réalisés par lithographie interférométrique dynamique. Elle a pour objectif la fabrication d’éléments optiques diffractifs dont les propriétés sont utilisées dans le traitement de la lumière visible et du proche infrarouge. Les outils technologiques compatibles nécessaires à l’obtention de ces éléments optiques ont été développés durant la thèse en combinant des matériaux fonctionnels, comme le TiO2, apportés par la voie sol-gel à une technique de lithographie permettant une microstructuration directe sur de grande surface. Ces deux technologies ont été transférées du laboratoire à un équipement semi-industriel avec succès. Cette étude a été guidée par une application des réseaux de diffraction aux modules solaires photovoltaïques. Un démonstrateur de module à déflecteurs diffractant, permettant d’augmenter le rendement global du module, a été produit et testé durant la thèse : les résultats ont été comparés aux simulations effectuées en amont / This PhD thesis deals with the writing of 1D or 2D periodic patterns on sol-gel based substrates by dynamic interferometric lithography. The aim is the manufacturing of diffractive optical elements whose properties are used in the treatment of the visible light and near infrared wavelengths. Compatible technological tools for obtaining the optical elements have been developed during the thesis by combining functional materials, such as TiO2, made by the sol-gel method and a lithography technique for direct microstructuring on large area. Both technologies have been successfully transferred from the laboratory scale to a semi-industrial equipment. This study was guided by the application of diffraction gratings for photovoltaic solar modules. A demonstrator module using diffracting deflectors, in order to increase the global PV module yield, was produced and tested in the thesis and compared to simulations

Page generated in 0.0403 seconds