• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 117
  • 38
  • 22
  • 8
  • 6
  • 1
  • Tagged with
  • 191
  • 88
  • 32
  • 31
  • 29
  • 28
  • 28
  • 26
  • 24
  • 22
  • 22
  • 21
  • 19
  • 17
  • 17
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
71

Optimisation thermique de nanostructures plasmoniques : conception, modélisation et caractérisation / Thermal optimization of plasmonic nanostructures : conception, simulation and characterization

Lalisse, Adrien 03 March 2017 (has links)
Un des défis majeurs auquel la communauté de la nano-optique aura à répondre dans les années à venir sera de concentrer l'énergie lumineuse à l'échelle du nanomètre de façon à créer une nanosource optique ou thermique intense à même d'alimenter de futurs dispositifs. Les nanoparticules métalliques, supportant une résonance plasmon de surface, sont idéales pour de telles applications. Dans ce contexte, cette thèse a pour vocation d'apporter un élément de réponse aux problématiques d'optimisation thermique aux échelles nanométriques et de proposer une nouvelle technique de nanothermométrie. A l'aide de simulations numériques, nous avons pu mettre en évidence les propriétés de génération de chaleur des nitrures de titane et de zirconium, dépassant celle de l'or, qui en font alors des matériaux de choix pour concevoir et fabriquer des nanosources thermiques dans le visible. Nous avons également obtenu une morphologie de particules induisant un échauffement maximal pour une longueur d'onde donnée : une nanoétoile à trois branches. Nous avons ensuite fabriqué des nanostructures d'or par lithographie électronique afin de les caractériser par holographie photothermique. En utilisant les deux types d'informations accessibles avec cette technique, l'amplitude et la phase optique, nous nous sommes alors efforcés à quantifier l'élévation de température de nanobâtonnets d'or. L'holographie photothermique d'amplitude a permis d'obtenir des mesures de température semi-quantitatives et, la technique de phase, encore préliminaire, se révèle intéressante et innovante pour étudier les propriétés thermoplasmoniques de nanostructures plasmoniques. / Focusing light on the nanoscale in order to create intense optical or thermal nanosources is probably the main challenge facing the nano-optics community, in order to power up future devices. Metallic nanoparticles and their surface plasmon resonance are ideal optical or thermal nanosources.In this context, this thesis aims at providing a possible solution to the issues of thermal optimization at the nanoscale and nanothermometry.By carrying out numerical simulations, we were able to highlight the heat generation properties of titanium and zirconium nitrides, exceeding those of gold, which make them ideally suited in order to conceive and fabricate heat nanosources in the visible. We also managed to obtain a particle morphology inducing a maximum heating at a given wavelength : a three-branchs nanostar.We fabricated gold nanostructures by e-beam lithography in order to characterize them with photothermal holography. By exploiting the two kind of informations available with this far-field optical technique, the amplitude and the optical phase, we strove to quantify the temperature variations of gold nanorods. The photothermal holography setup based on amplitude delivered semi-quantitative temperature measurements, and the phase based-technique, still at a preliminary stage of developpement, proves to be a new and promising tool for the study of optical and thermal properties of plasmonic nanostructures.
72

Etude de la modification de la source dans l'utilisation de la méthode de co-optimisation source masque en lithographie optique : mise en oeuvre et applications / Study of the source modification within the Source Mask Optimization method in optical lithography : impact and application

Alleaume, Clovis 23 April 2014 (has links)
Réalisée entre décembre 2009 et décembre 2012 au sein de STMicroelectronics Crolles dans l’équipe RET (résolution enhancement techniques), et en partenariat avec le laboratoire Hubert Curien Saint Etienne de l’université de Lyon, cette thèse s’intitule "Impact de la modification de la source dans l’utilisation de la méthode de cooptimisation masque source en lithographie optique, et application au nœud technologique 20 nm". Durant cette étude, nous avons pu étudier la technique d’optimisation de la source optique en lithographie, appelée généralement SMO afin de l’appliquer aux problématiques de l’industrie. Une première partie du manuscrit traitant de la lithographie optique permettra de mieux comprendre les problématiques liées à cette étude, en présentant les techniques utilisées. En effet, afin de permettre à la lithographie optique de continuer la miniaturisation des composants de microélectronique, il est nécessaire d’optimiser au maximum de nombreux éléments de la lithographie. La forme de la source optique utilisée n’échappe pas à cette règle et l’utilisation de sources étendues, hors axe et plus ou moins complexe permet aujourd’hui la production des technologies de pointes. Une seconde partie s’attardera plus sur l’optimisation de la source à proprement parler. Dans un premier temps, la théorie de la diffraction sera étudiée afin de permettre une meilleure compréhension du problème. Des simulations et des mesures SEM ou microscope électronique à balayage seront présentées pour montrer l’efficacité de la méthode SMO, de l’anglais "Source Mask Optimization". Cette étude donnant lieu au développement de nouvelles méthodes rapides et innovantes d’optimisation de la source, l’étude prendra soin de présenter des résultats obtenus dans le cadre de cette thèse. Ainsi, la méthode de SMO interne basée sur le phénomène de diffraction et créée durant cette thèse sera présentée dans cette étude et les résultats en découlant seront étudiés. L’application de l’optimisation de la source à des problématiques industrielles sera également présentée à travers différentes applications des solutions proposées. Finalement, un legs de connaissance nécessaire sera effectué par la présentation des différents outils développés durant cette thèse. Une troisième partie concernera l’étude de l’outil Flexray permettant la génération des sources optimisées. La thèse ayant donné lieu à une nouvelle technique de décomposition de la source en polynôme de Zernike, cette techniques sera présentée ici. Elle sera ensuite utilisée pour modéliser la dégradation d’une source, ainsi que pour corréler la différence de source avec la divergence du modèle empirique de simulation. L’étude des sources a été mise en place suivant un aspect industrielle, afin de contrôler l’évolution du scanner de façon rapide. De plus, des simulations peuvent être utilisées pour compléter cette étude. Finalement, une dernière partie traitera de la cooptimisation entre la source et différents éléments tels que le masque et la forme final du motif souhaité. En effet, si la forme initiale du motif souhaité joue un rôle important dans la définition de la source, il est possible de modifier cette dernière, ainsi que la forme du masque en lui appliquant un OPC afin d’obtenir de meilleurs résultats. Ces modifications seront étudiées durant le dernier chapitre / Conducted between December 2009 and December 2012 within the RET (resolution enhancement technology) team at STMicroelectronics Crolles and in partnership with Saint-Etienne laboratory Hubert Curien of the University of Lyon, this thesis entitled "Impact of changing the source while using the source mask optimization technique within optical lithography, and application to 20 nm technology node. ". In this thesis, Alleaume Clovis studied the optimization of the source used in optical lithography, technique usually called SMO (for source mask optimization) and applied the technique to the industry through several problems. The first part of the manuscript describe the optical lithography generalities, in order to allow a better understanding of the issues and the techniques used in this study. Indeed, to allow optical lithography to continue the miniaturization of microelectronic components, it is necessary to optimize many aspects of the lithography. The shape of the light source used is no exception to this rule and the use of extended sources, off-axis and more or less complex now enables the production of advanced technologies. The second part will then focus on the source modification and optimization. In a first step, the diffraction theory will be examined to demonstrate the theoretical interest of the thesis, and to allow a better understanding of the problem. Simulations and SEM measurements will be presented to show the effectiveness of SMO method. As this study gave birth to several innovative source optimization techniques, they will be presented. Thus, the method of internal SMO based on the phenomenon of diffraction and created during this thesis will be presented and the results would be studied. The application of the source optimization to industrial problems will also be presented through different applications. Finally, a legacy of knowledge will be done by presenting the different tools developed during this thesis. A third part will deal with the study of tool which generate the source inside the scanner allowing the use of optimized and complex sources. The thesis has given rise to a new source decomposition technique using Zernike polynomial. It will be used in this study to model the degradation of a source, and for correlating the impact of a source modification due to SMO technique on the empirical model stability. The study of sources has been implemented according to industrial aspect to monitor the scanner with a quick method. In addition to the Zernike decomposition method, simulations can be used to complete this study. The forth chapter of this study will talk about this implementation. Finally, the last part of the study will talk about the co-optimization of the source with several elements, such as the mask OPC and the final shape of the desired pattern. Indeed, if the initial shape of the desired pattern plays an important role in defining the source, it is possible to modify the latter design shape, as well as the shape of the mask in order to optimize both the source and the target shape. These changes will be discussed in the last chapter
73

Etude du transport et du bruit dans les couches 2D de nanotubes de carbone. / Study of Transport and noise in carbon nananotubes 2D films

Sassine, Gilbert 13 December 2012 (has links)
Les travaux de la thèse ont porté sur l'étude, la réalisation, la caractérisation et la modélisation de films 2D à base de nanotubes de carbone. Dans le premier chapitre nous avons présenté des généralités sur les nanotubes de carbone. Ensuite, nous nous sommes intéressés aux jonctions nanotube-nanotube et plus particulièrement à la modélisation du transport dans les différents types de jonction (M/M), (M/SC) et (SC/SC). Avec le deuxième chapitre nous avons entamé l'étude des films 2D à base de nanotubes de carbone. Dans un premier temps nous nous sommes intéressés au transport électrique dans ces structures fortement inhomogènes, en particulier en décrivant les modèles analytiques rendant compte du phénomène de percolation tant au niveau de la conductance que du bruit en 1/f. La seconde partie du chapitre est entièrement consacrée à la fabrication et la caractérisation physico-chimique des films 2D L'objectif principal du troisième chapitre est la modélisation des films 2D de nanotubes de carbone. Par rapport aux autres modèles utilisés dans la littérature, le modèle développé dans cette partie est le seul à prendre en compte la nature physique de chaque jonction tube-tube : (M/M) ou (M/SC) ou (SC/SC). Notre modèle prend ainsi en compte les non linéarités des jonctions. La résolution numérique de ce système est optimisée : i) en utilisant la technique MNA, technique dont le principe consiste à linéariser chaque dipôle du circuit. ii) en parallélisant les calculs sur un cluster informatique d'une centaine de cœurs. Pour le calcul du bruit la même technique est utilisée mais avec, dans ce cas, l'utilisation de la méthode du réseau adjoint. Dans le quatrième chapitre, nous avons, dans un premier temps, présentés et analysés nos résultats expérimentaux concernant la mesure de la conductance et du bruit en 1/f. Quelles que soient les conditions de dépôt nous avons toujours observé un comportement de type percolation au niveau des grandeurs mesurées, conductance et niveau de bruit en 1/f. Nous avons utilisé les paramètres d'ajustement des lois de percolation pour comparer et analyser nos résultats. Il en ressort que l'impact du surfactant sur l'homogénéité de la solution, se retrouve au niveau des résultats électriques des couches déposées, montrant l'avantage d'utiliser du sel biliaire. Quant à l'influence de la densité des tubes, comme attendu, la conductance augmente avec celle-ci. Par contre nous avons remarqué que le bruit en 1/f était beaucoup plus sensible à ce paramètre, avec en particulier un changement significatif au niveau des paramètres de percolation en bruit mis en évidence à forte densité de nanotubes. La deuxième partie de ce chapitre est dédiée à la simulation des paramètres électriques de nos structures expérimentales. Nous avons paramétré l'énergie et la largeur des barrières de potentiel entre chaque jonction. Ces paramètres sont ajustés à partir des résultats expérimentaux et sont fonction de la nature du surfactant. Les résultats de ces simulations concernant la conductance et le niveau de bruit en 1/f s'accordent avec les mesures et dans tous les cas les lois de percolation macroscopique sont respectées, ce qui valide nos modèles ainsi que la possibilité d'intégrer de façon réaliste la différence structurale des surfactants. Pour rendre compte de la déviation de la loi macroscopique de percolation du bruit en 1/f, observée sur les films déposés à partir de solution à forte densité de surfactant, nous avons au niveau des simulations introduit et modulé le nombre d'amas (clusters) de nanotubes en fonction de la densité des couches. Là encore le bon accord observé avec les résultats expérimentaux nous permet de valider la présence d'inhomogénéités dues aux clusters de nanotubes dans nos dépôts. / In this thesis we have focused on the fabrication, the characterization, and the modeling of 2D films based on carbon nanotubes.In the first chapter, we have presented general informations on carbon nanotubes. Then we are interested in the nanotube-nanotube junctions and particularly the modeling of transport in different types of junction (M/M), (M/SC) and (SC/SC).In the second chapter we have presented a study of 2D films based on carbon nanotubes. At first we present the electrical transport in these structures strongly inhomogeneous, especially in describing the analytical models accounting for the percolation phenomenon both in the conductance and 1/f noise. The second part of the chapter is devoted entirely to the manufacture and physico-chemical characterization of 2D films.The main objective of the third chapter is the modeling of 2D films of carbon nanotubes. Compared to other models described in the literature, the model developed in this section is the only one that take into account the physical nature of each tube-tube junction (M/M) or (M/SC) or (SC/SC). Our model takes into account the junction nonlinearity. The numerical solution of the system is optimized: i) using the MNA technique whose principle is to linearize each dipole in the circuit. ii) parallelizing computations on a computer cluster of a hundred core. For the noise simulation, the same technique is used but in this case, we have used the adjoint network method. In the fourth chapter, we have, at first, presented and analyzed our experimental results for conductance and 1/f noise. Whatever the deposition conditions we always observed a percolation-like behavior of our results. We used the fitting parameters of the percolation laws to compare and analyze our results. It appears that the impact of the surfactant on the homogeneity of the solution is found in the electrical measurement results of deposited films. As for the influence of the density of the tubes, as expected, the conductance increases with the increase of nanotubes density. We noticed that the 1/f noise was much more sensitive to this parameter, with in particular a significant change in the noise percolation parameters revealed at high density of nanotubes. The second part of this chapter is dedicated to the simulation of the electrical parameters of our experimental structures. These parameters are adjusted on the basis of experimental results and are based on the nature of the surfactant. The results of these simulations for the conductance and 1/f noise agree with measurements and in all cases the macroscopic percolation laws are respected, which validate our models. To bring to the fore the deviation from the noise percolation law observed in films deposited from solution with a high density of surfactant, we have introduced in our simulated structures a number of clusters of nanotubes according to the density of the deposited layers. Once again we observed a good agreement with the experimental results allowing us to validate the presence of clusters of nanotubes in our deposited films.
74

Herstellung ultraschmaler Leitbahnen mit herkömmlichen Prozessen und Untersuchungen zum Pore Sealing bei porösen low-k Dielektrika

Bonitz, Jens 31 March 2005 (has links)
Gegenstand dieser Arbeit sind zwei Problemstellungen der Mikroelektronik. Dies ist einerseits die Notwendigkeit zur Erzeugung kleiner Strukturgrößen um Untersuchungen an diesen durchzuführen. Innerhalb dieser Arbeit wurden ultraschmale Leitbahnen mit herkömmlichen Prozessen hergestellt, was den Einsatz neuer Lithographieanlagen oder auflösungsverbessernden Maßnahmen nicht notwendig macht. Hierzu wurde für zwei Verfahren, die Backfill- und die TiN-Spacer-Technologie, die Prozessschritte entwickelt und untersucht und beide Technologien hinsichtlich der erreichbaren Strukturgrößen bewertet. Das zweite Thema dieser Arbeit war das pore sealing von porösen low-k-Dielektrika. Für diese Untersuchungen wurden verschiedene pore sealing Methoden wie Plasmabehandlungen oder dünne Schichten (Liner) angewandt. Die Effektivität dieser Methoden, auch in Abhängigkeit von low-k-Materialien mit verschiedenen Porengrößen, wurde durch Rasterelektronenmikroskopie, Untersuchung der Barrierestabilität und Untersuchung von Diffusion der Barriere in das Dielektrikum mittels TEM und EDX line scan bestimmt. / This work is concerned with two problems of microelectronic research. This is first the need for small features sizes to carry out investigations on them. Within this work very narrow conductor lines were fabricated with conventional processes. So the use of new lithography tools or resolution enhancement techniques was not necessary. For two technologies, the Backfill and the TiN spacer technology, the process steps were developed and investigated and both technologies were evaluated regarding the reachable feature sizes. The second topic was pore sealing of porous low k dielectrics. For these investigations various pore sealing methods like plasma treatments or thin films (liner) were applied. The effectiveness of these methods, also depending of low k materials with different pore sizes, were investigated by scanning electron microscopy, by barrier integrity investigations and by investigations of barrier diffusion into the dielectric material using TEM and EDX line scan.
75

Développement de systèmes fluidiques dédiés à la manipulation d'ADN dans des réseaux de nanoplots : étude à l'échelle de la molécule unique et application à la séparation / Development of fluidic systems dedicated to DNA manipulation through nanopilar arrays : study at the single-molecule scale and application to separation.

Viero, Yannick 13 December 2011 (has links)
Dans la majeure partie des cas, la séparation en taille de molécules d'ADN, étape primordiale lors d'unséquençage, est réalisée par électrophorèse sur gels, inadaptée à la séparation de longues molécules : larecherche de techniques de séparation alternatives est donc primordiale. Nous avons utilisé unetechnologie de fabrication alternative, la Lithographie par Décalage de Phase, pour fabriquer des matricesd’obstacles de 80 à 500 nm de diamètre, de formes cylindrique ou ellipsoïdale. Ces matrices nous ontpermis de mener une étude des dynamiques de collision ADN-obstacle à l’échelle de la moléculeindividuelle, par la caractérisation des effets de l’actionnement (électrophorétique ou hydrodynamique), dela dimension et de la forme des obstacles sur ces dynamiques, impliquées dans le processus de séparationen taille. Nous montrons enfin la première séparation hydrodynamique de fragments d’ADN dans desréseaux d’obstacles nanométriques / In most cases, separation by size of DNA molecules, a crucial step for sequencing, is realized by gelelectrophoresis, unadapted to long molecule separation: it is consequently relevant to investigate alternativeseparation techniques. We have used an alternative fabrication technology, Phase Shift Lithography, tofabricate obstacle matrices which sizes range from 80 to 500 nm, with cylindrical or ellipsoidal shapes.These matrices allowed us to investigate DNA-obstacle collision dynamics at the single molecule scale, bythe caracterisation of actuation effects (electrophoretic or hydrodynamic) and of the size and shape of theobstacles on these dynamics, involved in the separation by size process. We finaly showed the firsthydrodynamic separation of DNA fragments into nanopilar matrices
76

Fabrication of suspended plate MEMS resonator by micro-masonry / Fabrication de nanoplaques résonantes à l'aide de la micro-maçonnerie

Bhaswara, Adhitya 25 November 2015 (has links)
L'impression par transfert, une technique utilisée pour transférer divers matériaux tels que des molécules d'ADN, de la résine photosensible ou des nanofils semi-conducteurs, s'est dernièrement révélée utile pour la réalisation de structures de silicium statiques sous le nom de micro-maçonnerie. L'étude présentée ici explore le potentiel de la technique de micro-maçonnerie pour la fabrication de résonateurs MEMS. Dans ce but, des microplaques de silicium ont été transférées sur des couches d'oxyde avec cavités intégrées à l'aide de timbres de polymère afin de créer des structures de type plaques suspendues. Le comportement dynamique de ces structures passives a été étudié sous pression atmosphérique et sous vide en utilisant une excitation externe par pastille piézo-électrique mais aussi le bruit thermomécanique. Par la suite, des résonateurs MEMS actifs, à actionnement électrostatique et détection capacitive intégrés, ont été fabriqués en utilisant des étapes supplémentaires de fabrication après impression. Ces dispositifs ont été caractérisés sous pression atmosphérique. Les facteurs de qualité intrinsèques des dispositifs fabriqués ont été évalués à 3000, ce qui est suffisant pour les applications de mesure à pression atmosphérique et en milieu liquide. Nous avons démontré que, puisque l'adhérence entre la plaque et l'oxyde est suffisamment forte pour empêcher une diaphonie mécanique entre les différentes cavités d'une même base, plusieurs résonateurs peuvent être facilement réalisés en une seule étape d'impression. Ce travail de thèse montre que la micro-maçonnerie est une technique simple et efficace pour la réalisation de résonateurs MEMS actifs de type plaque à cavité scellée. / Lately, transfer printing, a technique that is used to transfer diverse materials such as DNA molecules, photoresist, or semiconductor nanowires, has been proven useful for the fabrication of various static silicon structures under the name micro-masonry. The present study explores the suitability of the micro-masonry technique to fabricate MEMS resonators. To this aim, silicon microplates were transfer-printed by microtip polymer stamps onto dedicated oxide bases with integrated cavities in order to create suspended plate structures. The dynamic behavior of fabricated passive structures was studied under atmospheric pressure and vacuum using both external piezo-actuation and thermomechanical noise. Then, active MEMS resonators with integrated electrostatic actuation and capacitive sensing were fabricated using additional post-processing steps. These devices were fully characterized under atmospheric pressure. The intrinsic Q factor of fabricated devices is in the range of 3000, which is sufficient for practical sensing applications in atmospheric pressure and liquid. We have demonstrated that since the bonding between the plate and the device is rigid enough to prevent mechanical crosstalk between different cavities in the same base, multiple resonators can be conveniently realized in a single printing step. This thesis work shows that micro-masonry is a powerful technique for the simple fabrication of sealed MEMS plate resonators.
77

Lithographie par nanoimpression pour la fabrication de filtres à réseaux résonants en cavité / Nanoimprint lithography for cavity resonator integrated grating filters

Augé, Sylvain 01 December 2017 (has links)
Les filtres CRIGFs sont une nouvelle génération de filtres optiques réflectifs nanostructurés qui présentent un très fort intérêt pour de nombreuses applications. Cependant, leur fabrication est relativement complexe : il s'agit de composants structurés à des échelles petites devant la longueur d'onde d'utilisation, mais de surface totale relativement grande. Ils sont usuellement fabriqués en utilisant des procédés de lithographie de type lithographie électronique, qui présente une résolution suffisante mais qui est séquentielle et donc lente pour de telles surfaces de composant. En outre, les CRIGFs sont souvent réalisés sur des substrats isolants, ce qui complexifie encore plus l'utilisation de cette lithographie. Lors de cette thèse, un procédé de fabrication des CRIGFs a été développé à partir de la lithographie par nanoimpression via moule souple (SNIL). Cette technologie collective et à haut rendement contourne les inconvénients et garde les avantages de la traditionnelle lithographie électronique. Elle permet de fabriquer des motifs nanométriques par simple pressage d'un moule souple sur une couche de résine de polymères sous insolation d'ultraviolets. Après avoir stabilisé le procédé et établi les limites de la technologie, de nombreux filtres CRIGFs ont ainsi été créés. Ils présentent des résultats optiques équivalents dans le proche infrarouge (NIR) à ceux fabriqués par lithographie électronique. Dans un deuxième temps, le caractère générique du procédé mis en place a été démontré de plusieurs façons. Premièrement, nous avons montré qu'il était possible à l'aide de celui-ci de dépasser les compromis usuels de conception en structurant directement le guide d'onde, qui sera ensuite ré-encapsulé. Deuxièmement, nous avons montré que ce même procédé pouvait être directement transféré pour réaliser des filtres CRIGF dans la gamme du moyen infrarouge, bien que les filtres soient alors réalisés sur un matériau cristallin III-V et présentent des dimensions micrométriques plutôt que nanométriques. Enfin, nous avons démontré la grande souplesse et stabilité du procédé en l'utilisant pour explorer différentes géométries potentiellement intéressantes de cette nouvelle famille de filtres optiques nanostructurés. Nous avons notamment étudié des CRIGFs comportant un gradient de période qui ont permis pour la première fois d'obtenir un filtre CRIGF accordable. Pour finir, nous nous sommes attachés à étudier le potentiel de réalisation de filtres CRIGFs plus complexes et présentant plusieurs niveaux de corrugation. / Cavity resonator integrated grating filters (CRIGFs) are a new generation of nanostructured reflective filters. They present a strong interest for many applications. However, their manufacturing is relatively complex: CRIGFs are components structured at small scales compared to the wavelength of interest but on a relatively large area. They are usually made by electron beam lithography technique which presents a sufficient resolution but does not allow parallel patterning and is thereby time consuming for large area components. Furthermore, CRIGFs are often fabricated on insulating wafers which make the e-beam lithography process more complicated. In this PhD, a CRIGF process manufacturing has been implemented through soft mold nanoimprint lithography (SNIL). This high throughput collective technology keeps the benefits of the traditional electron beam lithography while overcoming its limits. Nano-scale patterns can be made by a simple stamping under UV exposure of a soft mold on a polymer resist layer. After stabilizing the process and assessing the technique limits, plenty of CRIGFs have been manufactured. They exhibit optical performances in the near- infrared range equivalent to those manufactured by e-beam lithography. Secondly, it has been demonstrated that the implemented process is generic. We have shown the possibility to overcome the usual design trade-offs by structuring directly the waveguide, before embedding. Moreover, this same process has been shown to be applied in a straightforward way to fabricate CRIGFS in the mid-infrared range using a III-V crystalline material and micrometric sized patterns. Finally, we have demonstrated the great flexibility and sustainability of the process by testing different potential geometries of CRIGFs. Notably, we have designed a CRIGF with a period gradient leading to the first tunable CRIGF ever demonstrated. Lastly, we have evaluated the potential manufacturing of complex CRIGFs with several corrugation levels.
78

L'estampe

Malenfant, Nicole 25 April 2018 (has links)
L'estampe est une oeuvre d’art imprimée sur papier à partir d'une matrice sur laquelle l'artiste a inscrit l'image de façon à ce que l'encre d'impression puisse y être déposée puis reportée sur le papier. L'oeuvre se réalise donc en deux étapes distinctes. Dans la première, l'artiste intervient techniquement pour modifier la surface de la matrice selon l'idée personnelle qu'il veut concrétiser. C'est alors que s'accomplit le processus créateur mais l'image n'existe que virtuellement, la matrice n'étant qu'un moule et non l'oeuvre en soi. A la deuxième étape, celle de l'impression, l'estampe est réalisée alors que l'encre déposée sur la matrice est reportée sur papier, révélant l'image créée par l'artiste. Au cours des siècles, les métiers de l'estampe se sont développés avec la contribution des artistes et des artisans qui ont cherché à en élargir les possibilités. C'est ainsi que les quatre grandes techniques de l'estampe se sont succédé, chacune ayant une pratique particulière et une écriture expressive qui lui soit propre. Ce sont, par ordre d'apparition historique, la gravure en relief, l'intaglio ou la gravure en creux, la lithographie et la sérigraphie. L'estampe est donc un métier d'art issu d'une grande tradition, celle de l'impression artisanale, mais aussi une oeuvre née de l'esprit créateur de l'artiste. Ces deux aspects sont indissociables, le métier ne prenant sa vocation artistique qu'avec la sensibilité de l'artiste et le rapport constant de ses gestes è l'image qu'il veut créer; mais à travers le processus technique, l'esprit créateur élabore à mime le dialogue avec la matière une j ^ réflexion qui donne son caractère expressif à l'oeuvre. C'est pourquoi le contenu de ce livre, qui traite du déroulement technique de chacun des métiers de l'estampe, laisse toujours une place importante h la volonté de l'artiste, â son intuition et à ses choix esthétiques afin que l'essence même du processus créateur s'intègre à la pratique technique. Ce livre, consacré à l'estampe en tant qu'expérience artistique, a été conçu pour faire connaître tous les aspects impliqués dans la pratique de ses métiers en dégageant autant la logique du procédé technique, d'une part, que la dimension expressive des interventions de l'artiste, d'autre part. Chaque chapitre décrit donc, geste après geste, l'élaboration technique d'un des quatre grands métiers de l'estampe. Chaque séquence technique se déploie d'abord autour d'une trame théorique afin de donner un fil directeur et une compréhension à la complexité de ces métiers; chaque matériel, chaque intervention est justifié en fonction de son rôle dans l'articulation des principes techniques propres à chacun des métiers. A la rigueur logique du procédé technique se juxtapose l'esprit du processus expressif qui élargit la fonction des interventions techniques, ces dernières devenant simultanément des gestes créateurs de signes ou d'effets répondant aux exigences de l'expression individuelle. C'est essentiellement à cette synthèse que l'artiste doit parvenir, chaque geste se soumettant â la fois à l'approche expressive et S la logique technique, générant une image originale tout en respectant le caractère du métier dont il emprunte la voie de réalisation. / Québec Université Laval, Bibliothèque 2014
79

Méthodes de corrections avancées des effets de proximité en lithographie électronique à écriture directe : Application aux technologies sub-32nm / Advanced proximity effects corrections strategy for the direct write electron beam lithography : Integration for the CMOS sub-32nm

Martin, Luc 07 April 2011 (has links)
Pour adresser les nœuds technologiques avancés dans le cadre de la lithographie électronique, une nouvelle stratégie de correction des effets de proximité a été imaginée pour prendre le relai de la technique standard de modulation de dose. Dans ces travaux de thèse, les effets de proximité ont été analysés sur les outils e-beam de dernière génération au sein du LETI. Les limites de la modulation de dose ont aussi été évaluées. Parallèlement, une approche plus fondamentale, basée sur la simulation, a permis de mieux comprendre l'impact des différentes étapes du procédé de lithographie sur les motifs réalisés. Une nouvelle stratégie de correction avancée, appelée exposition multiple, a ensuite été mise au point. Celle-ci fait intervenir des motifs spécifiques appelés eRIF (electron Resolution lmprovement Features) dont l'exposition, couplée à celle des motifs initiaux permet de mieux contrôler la répartition de la dose injectée dans la résine. On parle alors d'expositions multiples. Au cours de ces travaux le positionnement des eRIF, ainsi que leurs dimensions ont fait l'objet d'une étude approfondie. L'élaboration d'algorithmes d'optimisation et la réalisation d'expérimentations en salle blanche ont permis d'optimiser ces paramètres et de mettre en évidence les gains apportés par les eRIF. Par rapport à la modulation de dose, des améliorations significatives ont pu être démontrées sur de véritables circuits intégrés. Grâce à l'exposition multiple, la résolution ultime des outils de lithographie e-beam a été repoussée de 2 nœuds technologiques pour les niveaux les plus critiques d'un circuit. Les règles de dessin retenues pour réaliser les eRIF ont ensuite été intégrées dans des modèles de corrections. via le logiciel de préparation de données INSCALE d'ASELTA NANOGRAPHICS pour assurer une correction automatisée des circuits. / In electron beam lithography, a new proximity affects correction strategy has been imagined to push the resolution capabilities beyond the limitations of the standard dose modulation. In this work, the proximity affects inherent to e-beam lithography have been studied on the newest e-beam tools available at LETI. First, the limits of the standard dose modulation correction have been evaluated. The influences of each step of the lithographic process have also been analyzed from a theoretical point a view. A simulation approach was built and used to determine the impact of each of these steps on the patterned features. Then, a new writing strategy has been fully developed. It involves sub resolution features known as eRIF (electron Resolution Improvement features) which provide a finer control of the dose profile into the resist. Since the eRIF are exposed a top the nominal features, this new writing strategy is called multiple pass exposure. In this work, the position, the dose and the design of the eRIF have been studied and optimized to get the best of this new strategy. To do so, experiments were led in a clean room environment, and minimization algorithms have been developed. It has been demonstrated that the eRIF provide a significant gain compared to the standard dose modulation. Improvements have been observed even on the most critical levels of the Integrated circuits. By using the multiple pass exposure with optimized eRIF, the resolution capabilities of the e-beam tool have been reduced by 2 technological nodes. The design rules that have been determined to use the eRIF the most efficient way were finally implemented in INSCALE, the new data preparation software developed by ASELTA NANOGRAPHICS. This way, multiple pass exposure can be used in an automated mode to correct full layouts.
80

ETUDE DES MOYENS DE LITHOGRAPHIE HAUTE RESOLUTION POUR LA FABRICATION DE RESONATEURS A ONDES ELASTIQUES DE SURFACE : APPLICATION AUX SOURCES EMBARQUEES

Salut, Roland 15 December 2011 (has links) (PDF)
Le but de ce travail de thèse est d'étudier les moyens de lithographie haute résolution pour la fabrication de résonateurs à ondes élastiques de surface, et de l'illustrer à travers la réalisation de sources de fréquences fonctionnant au-delà du GigaHertz. Dans un premier temps nous abordons les différents dispositifs fondés sur les ondes élastiques de surface puis les sources de fréquence (instabilités caractéristiques) et fixons les objectifs de l'étude au travers notamment d'un état de l'art. Dans un second temps, nous présentons les moyens de lithographie étudiés dans le cadre de ce travail, à savoir la lithographie électronique, la gravure par faisceau d'ions focalisés, la lithographie UV par projection et la lithographie par nano-impression. Pour chacune d'entre elles, nous détaillons le principe de fonctionnement et montrons, notamment grâce à des simulations, leur intérêt et leurs limitations. Ensuite, nous présentons la fabrication et la caractérisation de résonateurs sur différents types de substrats ayant des propriétés innovantes par rapport à nos applications. Le PZT élaboré par épitaxie, présentant des coefficients de couplage élevés (plusieurs pourcents) couplés à une granulométrie fine et une orientation cristalline selon l'axe 001. Le diamant, qui permet d'atteindre des vitesses de phase de l'ordre de 10000 m.s-1, soit une vitesse deux fois supérieure à celles des ondes transverses sur substrat de quartz, quartz que nous avons également étudié afin de rechercher de nouveaux points de fonctionnement à haute fréquence. Pour chaque matériau, nous identifions un ou plusieurs moyen(s) de lithographie qui nous permettent de fabriquer les résonateurs. Les étapes de conception, de fabrication et de caractérisation sont décrites en détail. La dernière partie du manuscrit consiste à exposer les caractéristiques des oscillateurs fondés sur les résonateurs à haut produit Qf ainsi fabriqués (Qf > 5.1012). Nous reportons les résultats obtenus à des fréquences de 1,5 GHz (sur quartz) et à 3 GHz (sur diamant nanocristallin). Le bruit de phase à 10 kHz de la porteuse est compris entre -100 et -110 dBc.Hz-1, et le bruit plancher est de -160 dBc.Hz-1. Nous concluons en donnant des pistes afin d'améliorer ces caractéristiques.

Page generated in 0.0355 seconds