• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 4
  • Tagged with
  • 4
  • 4
  • 3
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Loss-free architectures in optical burst switched networks for a reliable and dynamic optical layer / [Architectures sans pertes dans les réseaux optiques avec commutation en rafale pour une couche optique fiable et dynamique]

Coutelen, Thomas 15 June 2010 (has links)
[non communiqué] / For the last three decades, the optical fiber has been a quite systematic response to dimensioning issues in the Internet. Originally restricted to long haul networks, the optical network has gradually descended the network hierarchy to discard the bottlenecks. In the 90's, metropolitan networks became optical. Today, optical fibers are deployed in access networks and reach the users. In a near future, besides wireless access and local area networks, all networks in the network hierarchy may be made of fibers, in order to support current services (HDTV) and the emergence of new applications (3D-TV newly commercialized in USA). The deployment of such greedy applications will initiate an upward upgrade. The first step may be the Metropolitan Area Networks (MANs), not only because of the traffic growth, but also because of the variety of served applications, each with a specific traffic profile. The current optical layer is of mitigated efficiency, dealing with unforeseen events. The lack of reactivity is mainly due to the slow switching devices: any on-line decision of the optical layer is delayed by the configuration of the. devices. When the optical network has been extended in the MANs, a lot of efforts has been deployed to improve the reactivity of the optical layer. The Optical Circuit Switching paradigm (OCS) has been improved but it ultimately relies on off-line configuration of the optical devices. Optical Burst Switching (OBS) can be viewed as a highly flexible evolution of OCS, that operates five order of magnitude faster. Within this 'architecture, the loss-free guaranty can be abandoned in order to improve the reactivity of the optical layer. Indeed, reliability and reactivity appear as antagonists properties and getting closer to either of them mitigates the other. This thesis aims at proposing a solution to achieve reliable transmission over a dynamic optical layer. Focusing on OBS networks, our objective is to solve the contention issue without mitigating the reactivity. After the consideration of contention avoidance mechanisms with routing constraints similar as in OCS networks, we investigate the reactive solutions that intend to solve the contentions. None of the available contention resolution scheme can ensure the 100% efficiency that leads to loss-free transmission. An attractive solution is the recourse to electrical buffering, but it is notoriously disregarded because (1) it may highly impact the delays and (2) loss can occur due to buffer overflows. The efficiency of translucent architectures thus highly depends on the buffer availability, that can be improved by reducing the time spent in the buffers and the contention rate. We show that traffic grooming can highly reduce the emission delay, and consequently the buffer occupancy. In a first architecture, traffic grooming is enabled by a translucent core node architecture, capable to re-aggregate incoming bursts. The re-aggregation is mandatory to "de-groom" the bursts in the core network (i.e., to demultiplex the content of a burst). On the one hand, the re-aggregation highly reduces the loss probability, but on the other hand, it absorbs the benefits of traffic grooming. Finally, dynamic access to re-aggregation for contention resolution, despite the significant reduction of the contention rate, dramatically impacts the end-to-end delay and the memory requirement. We thus propose a second architecture, called CAROBS, that exploits traffic grooming in the optical domain. This framework is fully dynamic and can be used jointly with our translucent architecture that performs re-aggregation. As the (de)grooming operations do not involve re-aggregation, the translucent module can be restricted to contention resolution. As a result, the volume of data submitted to re-aggregation is drastically reduced and loss-free transmission can be reached with the same reactivity, end-to-end delay and memory requirement as a native OBS network
2

Spectral Optical Layer Properties of Cirrus - Collocated Airborne Measurements and Radiative Transfer Simulations

Finger, Fanny 07 March 2018 (has links)
In der vorliegenden Arbeit werden optische Schichteigenschaften von Zirren durch räumlich und zeitlich kombinierte flugzeuggetragene Messungen zusammen mit Strahlungstransfersimulationen untersucht. Diese räumlich koordinierten Strahlungs– und Mikrophysikmessungen oberhalb, unterhalb und innerhalb des Zirrus werden mittels eines Forschungsflugzeuges (Learjet 35A) in Kombination mit einem Schleppkörper namens AIRTOSS (AIRcraft TOwed Sensor Shuttle) realisiert. AIRTOSS wird dabei vom Flugzeug aus mittels einer Winde abgelassen und wieder eingeholt. Die maximale Seillänge beträgt 4 km. Im Rahmen des AIRTOSS–ICE–Projekts (Inhomogeneous Cirrus Experiment) wurden während zweier Messkampagnen über der Nord– und Ostsee im Frühjahr und Spätsommer 2013 flugzeuggetragene Beobachtungen der Zirruseigenschaften durchgeführt. Beispielmessungen eines Messfluges werden in dieser Arbeit gezeigt, welche den Nutzen der simultanen Strahlungsmessungen mittels zweier Messplattformen aufzeigen. Aus den Messungen der spektralen Flussdichten oberhalb und unterhalb des Zirrus werden die optischen Schichteigenschaften wie Transmissivität, Reflektivität und Absorptivität und die Albedo der Wolkenschicht ermittelt. Die flugzeuggetragenen Untersuchungen werden durch Sensitivitätsstudien gestützt, basierend auf einem eindimensionalen Strahlungstransfermodell. Dies dient der Charaktierisierung des Einflusses variierender, optischer und mikrophysikalischer Zirruseigenschaften (Eiskristallform, Partikelgröße und optische Dicke) auf die optischen Schichteigenschaften und den solaren Strahlungsantrieb des Zirrus. Weitere Studien zeigen den Einfluss einer darunterliegenden Flüssigwasserwolke auf die Zirruseigenschaften. Eine niedrige Wolkenschicht führt zu Abweichungen der Schichteigenschaften des Zirrus von 85%. Die Nichtberücksichtigung niedriger Wolken unterhalb einer Zirrusschicht führt zu einer deutlichen Überschätzung des Strahlungsantriebs des Zirrus um Faktor 5. / In this thesis cirrus optical layer properties are investigated by truly collocated measurements and supplementary radiative transfer simulations. The close collocation of the radiative and microphysical measurements, above, beneath and inside the cirrus, is obtained by using a research aircraft (Learjet 35A) in tandem with a towed platform called AIRTOSS (AIRcraft TOwed Sensor Shuttle). AIRTOSS can be released from and retracted back to the research aircraft by means of a cable up to a distance of 4 km. Data were collected in two field campaigns above the North and Baltic Sea in spring and late summer 2013 in the framework of the AIRTOSS–ICE (AIRTOSS – Inhomogeneous Cirrus Experiment) project. Exemplary, results from one measuring flight are discussed also to illustrate the benefits of collocated sampling. The spectral optical layer properties of cirrus are derived from simultaneous and vertically collocated measurements of spectral upward and downward solar irradiance above and below the cloud layer and concurrent in situ microphysical sampling of the ice particle size distributions. From the irradiance data the optical layer properties (transmissivity, reflectivity, and absorptivity) and the cloud top albedo of the observed cirrus layer are obtained. These airborne observations are supported by sensitivity studies using one–dimensional radiative transfer modelling to characterize the effect of varying cirrus optical and microphysical properties (ice crystal shape, particle size, and cloud optical thickness) on the cirrus optical layer properties, as well as on the solar cirrus radiative forcing. Further studies show the impact of an underlying low–level liquid water cloud on the mentioned cirrus properties. A low–level cloud causes differences in the layer properties of the cirrus by 85%. If low–level clouds below cirrus are not considered the solar cooling due to the cirrus is significantly overestimated by up to a factor of 5.
3

Evaluation of novel metalorganic precursors for atomic layer deposition of Nickel-based thin films / Evaluierung neuartiger metallorganischen Präkursoren für Atomlagenabscheidung von Nickel-basierten Dünnschichten

Sharma, Varun 04 June 2015 (has links) (PDF)
Nickel und Nickel (II) -oxid werden in großem Umfang in fortgeschrittenen elektronischen Geräten verwendet. In der Mikroelektronik-Industrie wird Nickel verwendet werden, um Nickelsilizid bilden. Die Nickelmono Silizid (NiSi) wurde als ausgezeichnetes Material für Source-Drain-Kontaktanwendungen unter 45 nm-CMOS-Technologie entwickelt. Im Vergleich zu anderen Siliziden für die Kontaktanwendungen verwendet wird NiSi wegen seines niedrigen spezifischen Widerstand, niedrigen Kontaktwiderstand, relativ niedrigen Bildungstemperatur und niedrigem Siliziumverbrauchs bevorzugt. Nickel in Nickelbasis-Akkus und ferromagnetischen Direktzugriffsspeicher (RAMs) verwendet. Nickel (II) oxid wird als Transistor-Gate-Oxid und Oxid in resistive RAM genutzt wird. Atomic Layer Deposition (ALD) ist eine spezielle Art der Chemical Vapor Deposition (CVD), das verwendet wird, um sehr glatte sowie homogene Dünnfilme mit hervorragenden Treue auch bei hohen Seitenverhältnissen abzuscheiden. Es basiert auf selbstabschließenden sequentielle Gas-Feststoff-Reaktionen, die eine präzise Steuerung der Filmdicke auf wenige Angström lassen sich auf der Basis. Zur Herstellung der heutigen 3D-elektronische Geräte, sind Technologien wie ALD erforderlich. Trotz der Vielzahl von praktischen Anwendungen von Nickel und Nickel (II) -oxid, sind einige Nickelvorstufen zur thermischen basierend ALD erhältlich. Darüber hinaus haben diese Vorstufen bei schlechten Filmeigenschaften führte und die Prozesseigenschaften wurden ebenfalls begrenzt. Daher in dieser Masterarbeit mussten die Eigenschaften verschiedener neuartiger Nickelvorstufen zu bewerten. Alle neuen Vorstufen heteroleptische (verschiedene Arten von Liganden) und Komplexe wurden vom Hersteller speziell zur thermischen basierend ALD aus reinem Nickel mit H 2 als ein Co-Reaktionsmittel gestaltet. Um die neuartige Vorläufer zu untersuchen, wurde eine neue Methode entwickelt, um kleine Mengen in einer sehr zeitsparend (bis zu 2 g) von Ausgangsstoffen zu testen. Diese Methodologie beinhaltet: TGA / DTA-Kurve analysiert der Vorstufen, thermische Stabilitätstests in dem die Vorläufer (<0,1 g) wurden bei erhöhter Temperatur in einer abgedichteten Umgebung für mehrere Stunden wurde die Abscheidung Experimenten und Film Charakterisierungen erhitzt. Die Abscheidungen wurden mit Hilfe der in situ Quarzmikrowaage überwacht, während die anwendungsbezogenen Filmeigenschaften, wie chemische Zusammensetzung, physikalische Phase, Dicke, Dichte, Härte und Schichtwiderstand wurden mit Hilfe von ex situ Messverfahren untersucht. Vor der Evaluierung neuartiger Nickelvorstufen ein Benchmark ALD-Prozess war vom Referenznickelvorläufer (Ni (AMD)) und Luft als Reaktionspartner entwickelt. Das Hauptziel der Entwicklung und Optimierung von solchen Benchmark-ALD-Prozess war es, Standard-Prozessparameter wie zweite Reaktionspartner Belichtungszeiten, Argonspülung Zeiten, gesamtprozessdruck, beginnend Abscheidungstemperatur und Gasströme zu extrahieren. Diese Standard-Prozessparameter mussten verwendet, um die Prozessentwicklung Aufgabe (das spart Vorläufer Verbrauch) zu verkürzen und die Sublimationstemperatur Optimierung für jede neuartige Vorstufe werden. Die ALD Verhalten wurde in Bezug auf die Wachstumsrate durch Variation des Nickelvorläuferbelichtungszeit, Vorläufer Temperatur und Niederschlagstemperatur überprüft. / Nickel and nickel(II) oxide are widely used in advanced electronic devices . In microelectronic industry, nickel is used to form nickel silicide. The nickel mono-silicide (NiSi) has emerged as an excellent material of choice for source-drain contact applications below 45 nm node CMOS technology. As compared to other silicides used for the contact applications, NiSi is preferred because of its low resistivity, low contact resistance, relatively low formation temperature and low silicon consumption. Nickel is used in nickel-based rechargeable batteries and ferromagnetic random access memories (RAMs). Nickel(II) oxide is utilized as transistor gate-oxide and oxide in resistive RAMs. Atomic Layer Deposition (ALD) is a special type of Chemical Vapor Deposition (CVD) technique, that is used to deposit very smooth as well as homogeneous thin films with excellent conformality even at high aspect ratios. It is based on self-terminating sequential gas-solid reactions that allow a precise control of film thickness down to few Angstroms. In order to fabricate todays 3D electronic devices, technologies like ALD are required. In spite of huge number of practical applications of nickel and nickel(II) oxide, a few nickel precursors are available for thermal based ALD. Moreover, these precursors have resulted in poor film qualities and the process properties were also limited. Therefore in this master thesis, the properties of various novel nickel precursors had to be evaluated. All novel precursors are heteroleptic (different types of ligands) complexes and were specially designed by the manufacturer for thermal based ALD of pure nickel with H 2 as a co-reactant. In order to evaluate the novel precursors, a new methodology was designed to test small amounts (down to 2 g) of precursors in a very time efficient way. This methodology includes: TGA/DTA curve analyses of the precursors, thermal stability tests in which the precursors (< 0.1 g) were heated at elevated temperatures in a sealed environment for several hours, deposition experiments, and film characterizations. The depositions were monitored with the help of in situ quartz crystal microbalance, while application related film properties like chemical composition, physical phase, thickness, density, roughness and sheet resistance were investigated with the help of ex situ measurement techniques. Prior to the evaluation of novel nickel precursors, a benchmark ALD process was developed from the reference nickel precursor (Ni(amd)) and air as a co-reactant. The main goal of developing and optimizing such benchmark ALD process was to extract standard process parameters like second-reactant exposure times, Argon purge times, total process pressure, starting deposition temperature and gas flows. These standard process parameters had to be utilized to shorten the process development task (thus saving precursor consumption) and optimize the sublimation temperature for each novel precursor. The ALD behaviour was checked in terms of growth rate by varying the nickel precursor exposure time, precursor temperature and deposition temperature.
4

Evaluation of novel metalorganic precursors for atomic layer deposition of Nickel-based thin films

Sharma, Varun 17 February 2015 (has links)
Nickel und Nickel (II) -oxid werden in großem Umfang in fortgeschrittenen elektronischen Geräten verwendet. In der Mikroelektronik-Industrie wird Nickel verwendet werden, um Nickelsilizid bilden. Die Nickelmono Silizid (NiSi) wurde als ausgezeichnetes Material für Source-Drain-Kontaktanwendungen unter 45 nm-CMOS-Technologie entwickelt. Im Vergleich zu anderen Siliziden für die Kontaktanwendungen verwendet wird NiSi wegen seines niedrigen spezifischen Widerstand, niedrigen Kontaktwiderstand, relativ niedrigen Bildungstemperatur und niedrigem Siliziumverbrauchs bevorzugt. Nickel in Nickelbasis-Akkus und ferromagnetischen Direktzugriffsspeicher (RAMs) verwendet. Nickel (II) oxid wird als Transistor-Gate-Oxid und Oxid in resistive RAM genutzt wird. Atomic Layer Deposition (ALD) ist eine spezielle Art der Chemical Vapor Deposition (CVD), das verwendet wird, um sehr glatte sowie homogene Dünnfilme mit hervorragenden Treue auch bei hohen Seitenverhältnissen abzuscheiden. Es basiert auf selbstabschließenden sequentielle Gas-Feststoff-Reaktionen, die eine präzise Steuerung der Filmdicke auf wenige Angström lassen sich auf der Basis. Zur Herstellung der heutigen 3D-elektronische Geräte, sind Technologien wie ALD erforderlich. Trotz der Vielzahl von praktischen Anwendungen von Nickel und Nickel (II) -oxid, sind einige Nickelvorstufen zur thermischen basierend ALD erhältlich. Darüber hinaus haben diese Vorstufen bei schlechten Filmeigenschaften führte und die Prozesseigenschaften wurden ebenfalls begrenzt. Daher in dieser Masterarbeit mussten die Eigenschaften verschiedener neuartiger Nickelvorstufen zu bewerten. Alle neuen Vorstufen heteroleptische (verschiedene Arten von Liganden) und Komplexe wurden vom Hersteller speziell zur thermischen basierend ALD aus reinem Nickel mit H 2 als ein Co-Reaktionsmittel gestaltet. Um die neuartige Vorläufer zu untersuchen, wurde eine neue Methode entwickelt, um kleine Mengen in einer sehr zeitsparend (bis zu 2 g) von Ausgangsstoffen zu testen. Diese Methodologie beinhaltet: TGA / DTA-Kurve analysiert der Vorstufen, thermische Stabilitätstests in dem die Vorläufer (<0,1 g) wurden bei erhöhter Temperatur in einer abgedichteten Umgebung für mehrere Stunden wurde die Abscheidung Experimenten und Film Charakterisierungen erhitzt. Die Abscheidungen wurden mit Hilfe der in situ Quarzmikrowaage überwacht, während die anwendungsbezogenen Filmeigenschaften, wie chemische Zusammensetzung, physikalische Phase, Dicke, Dichte, Härte und Schichtwiderstand wurden mit Hilfe von ex situ Messverfahren untersucht. Vor der Evaluierung neuartiger Nickelvorstufen ein Benchmark ALD-Prozess war vom Referenznickelvorläufer (Ni (AMD)) und Luft als Reaktionspartner entwickelt. Das Hauptziel der Entwicklung und Optimierung von solchen Benchmark-ALD-Prozess war es, Standard-Prozessparameter wie zweite Reaktionspartner Belichtungszeiten, Argonspülung Zeiten, gesamtprozessdruck, beginnend Abscheidungstemperatur und Gasströme zu extrahieren. Diese Standard-Prozessparameter mussten verwendet, um die Prozessentwicklung Aufgabe (das spart Vorläufer Verbrauch) zu verkürzen und die Sublimationstemperatur Optimierung für jede neuartige Vorstufe werden. Die ALD Verhalten wurde in Bezug auf die Wachstumsrate durch Variation des Nickelvorläuferbelichtungszeit, Vorläufer Temperatur und Niederschlagstemperatur überprüft.:Lists of Abbreviations and Symbols VIII Lists of Figures and Tables XIV 1 Introduction 1 I Theoretical Part 3 2 Nickel and Nickel Oxides 4 2.1 Introduction and Existence 5 2.2 Material properties of Nickel and Nickel Oxide 5 2.3 Application in electronic industry 5 3 Atomic Layer Deposition 7 3.1 History 8 3.2 Definition 8 3.3 Features of thermal-ALD 8 3.3.1 ALD growth mechanism – an ideal view 8 3.3.2 ALD growth behaviour 10 3.3.3 Growth mode 11 3.3.4 ALD temperature window 11 3.4 Benefits and limitations 12 3.5 Precursor properties for thermal-ALD 13 3.6 ALD & CVD of Nickel – A literature survey 13 4 Metrology 17 4.1 Thermal analysis of precursors 18 4.2 Film and growth characterization 21 4.2.1 Quartz Crystal Microbalance 21 4.2.2 Spectroscopic Ellipsometry 24 4.2.3 X-Ray Photoelectron Spectroscopy 28 4.2.4 Scanning Electron Microscopy 29 4.2.5 X-Ray Reflectometry and X-Ray Diffraction 29 4.2.6 Four Point Probe Technique 20 5 Rapid Thermal Processing 32 5.1 Introduction 33 5.2 Basics of RTP 33 5.3 Nickel Silicides-A literature survey 33 II Experimental Part 36 6 Methodologies 37 6.1 Experimental setup 38 6.2 ALD process 41 6.2.1 ALD process types and substrate setups 41 6.2.2 Process parameters 41 6.3 Experimental procedure 42 6.3.1 Tool preparation 42 6.3.2 Thermal analysis and ALD experiments from nickel precursors 43 6.3.3 Data acquisition and evaluation 44 6.3.4 Characterization of film properties 46 7 Results and discussion 48 7.1 Introduction 49 7.2 QCM verification with Aluminum Oxide ALD process 49 7.3 ALD process from the reference precursor 50 7.3.1 Introduction 50 7.3.2 TG analysis for Ni(amd) precursor 51 7.3.3 Thermal stability test for Ni(amd) 51 7.3.4 ALD process optimization 52 7.3.5 Film properties 54 7.4 Evaluating the novel Nickel precursors 55 7.4.1 Screening tests for precursor P1 55 7.4.2 Screening tests for precursor P2 62 7.4.3 Screening tests for precursor P3 66 7.4.4 Screening tests for precursor P4 70 7.4.5 Screening tests for precursor P5 72 7.5 Comparison of all nickel precursors used in this work 74 8 Conclusions and outlook 77 References 83 III Appendix 101 A Deposition temperature control & Ellipsometry model 102 B Gas flow plan 105 / Nickel and nickel(II) oxide are widely used in advanced electronic devices . In microelectronic industry, nickel is used to form nickel silicide. The nickel mono-silicide (NiSi) has emerged as an excellent material of choice for source-drain contact applications below 45 nm node CMOS technology. As compared to other silicides used for the contact applications, NiSi is preferred because of its low resistivity, low contact resistance, relatively low formation temperature and low silicon consumption. Nickel is used in nickel-based rechargeable batteries and ferromagnetic random access memories (RAMs). Nickel(II) oxide is utilized as transistor gate-oxide and oxide in resistive RAMs. Atomic Layer Deposition (ALD) is a special type of Chemical Vapor Deposition (CVD) technique, that is used to deposit very smooth as well as homogeneous thin films with excellent conformality even at high aspect ratios. It is based on self-terminating sequential gas-solid reactions that allow a precise control of film thickness down to few Angstroms. In order to fabricate todays 3D electronic devices, technologies like ALD are required. In spite of huge number of practical applications of nickel and nickel(II) oxide, a few nickel precursors are available for thermal based ALD. Moreover, these precursors have resulted in poor film qualities and the process properties were also limited. Therefore in this master thesis, the properties of various novel nickel precursors had to be evaluated. All novel precursors are heteroleptic (different types of ligands) complexes and were specially designed by the manufacturer for thermal based ALD of pure nickel with H 2 as a co-reactant. In order to evaluate the novel precursors, a new methodology was designed to test small amounts (down to 2 g) of precursors in a very time efficient way. This methodology includes: TGA/DTA curve analyses of the precursors, thermal stability tests in which the precursors (< 0.1 g) were heated at elevated temperatures in a sealed environment for several hours, deposition experiments, and film characterizations. The depositions were monitored with the help of in situ quartz crystal microbalance, while application related film properties like chemical composition, physical phase, thickness, density, roughness and sheet resistance were investigated with the help of ex situ measurement techniques. Prior to the evaluation of novel nickel precursors, a benchmark ALD process was developed from the reference nickel precursor (Ni(amd)) and air as a co-reactant. The main goal of developing and optimizing such benchmark ALD process was to extract standard process parameters like second-reactant exposure times, Argon purge times, total process pressure, starting deposition temperature and gas flows. These standard process parameters had to be utilized to shorten the process development task (thus saving precursor consumption) and optimize the sublimation temperature for each novel precursor. The ALD behaviour was checked in terms of growth rate by varying the nickel precursor exposure time, precursor temperature and deposition temperature.:Lists of Abbreviations and Symbols VIII Lists of Figures and Tables XIV 1 Introduction 1 I Theoretical Part 3 2 Nickel and Nickel Oxides 4 2.1 Introduction and Existence 5 2.2 Material properties of Nickel and Nickel Oxide 5 2.3 Application in electronic industry 5 3 Atomic Layer Deposition 7 3.1 History 8 3.2 Definition 8 3.3 Features of thermal-ALD 8 3.3.1 ALD growth mechanism – an ideal view 8 3.3.2 ALD growth behaviour 10 3.3.3 Growth mode 11 3.3.4 ALD temperature window 11 3.4 Benefits and limitations 12 3.5 Precursor properties for thermal-ALD 13 3.6 ALD & CVD of Nickel – A literature survey 13 4 Metrology 17 4.1 Thermal analysis of precursors 18 4.2 Film and growth characterization 21 4.2.1 Quartz Crystal Microbalance 21 4.2.2 Spectroscopic Ellipsometry 24 4.2.3 X-Ray Photoelectron Spectroscopy 28 4.2.4 Scanning Electron Microscopy 29 4.2.5 X-Ray Reflectometry and X-Ray Diffraction 29 4.2.6 Four Point Probe Technique 20 5 Rapid Thermal Processing 32 5.1 Introduction 33 5.2 Basics of RTP 33 5.3 Nickel Silicides-A literature survey 33 II Experimental Part 36 6 Methodologies 37 6.1 Experimental setup 38 6.2 ALD process 41 6.2.1 ALD process types and substrate setups 41 6.2.2 Process parameters 41 6.3 Experimental procedure 42 6.3.1 Tool preparation 42 6.3.2 Thermal analysis and ALD experiments from nickel precursors 43 6.3.3 Data acquisition and evaluation 44 6.3.4 Characterization of film properties 46 7 Results and discussion 48 7.1 Introduction 49 7.2 QCM verification with Aluminum Oxide ALD process 49 7.3 ALD process from the reference precursor 50 7.3.1 Introduction 50 7.3.2 TG analysis for Ni(amd) precursor 51 7.3.3 Thermal stability test for Ni(amd) 51 7.3.4 ALD process optimization 52 7.3.5 Film properties 54 7.4 Evaluating the novel Nickel precursors 55 7.4.1 Screening tests for precursor P1 55 7.4.2 Screening tests for precursor P2 62 7.4.3 Screening tests for precursor P3 66 7.4.4 Screening tests for precursor P4 70 7.4.5 Screening tests for precursor P5 72 7.5 Comparison of all nickel precursors used in this work 74 8 Conclusions and outlook 77 References 83 III Appendix 101 A Deposition temperature control & Ellipsometry model 102 B Gas flow plan 105

Page generated in 0.0703 seconds