• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 20
  • 4
  • 3
  • 2
  • 1
  • Tagged with
  • 30
  • 30
  • 30
  • 30
  • 20
  • 19
  • 17
  • 13
  • 13
  • 13
  • 13
  • 11
  • 10
  • 10
  • 10
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
11

Theoretical studies of molecule-substrate interaction at complex gold and silicon oxide surfaces using surface and cluster models

Ting, Chao-Ming 11 January 2021 (has links)
The formation and patterns of a monolayer are determined by the interplay of two fundamental interactions, adsorbate-substrate and intermolecular interactions. The binding strength between adsorbate and substrate affects the mobility of the adsorbate at the surface and the stability of the complex. The intermolecular interaction plays a significant role in the monolayer patterns on the epitaxial layer of the substrate. A monolayer can be formed either by a spontaneous self-assembly, or by fabrication via atomic-layer deposition (ALD). The physical and chemical properties of the resulting monolayer have a broad array of applications in fabricating functional materials for hydrophobic or hydrophilic surfaces, biological sensors, alternating the properties of the substrate, catalysis and forming ordered layered structures. In this dissertation, the investigation focuses primarily on the influence of the surface topology on the binding behaviour of adsorbate-surface complexes. The state of the art DFT-TS method is used to simulate the sulfur-containing amino acids at complex gold surfaces and examine the relationship between the binding strengths and the binding sites with various nearest neighbouring environments. The same method is also used to determine if a chemical reaction will take place for various catalytic silicon precursors at a silicon oxide surface. Simulating surface chemistry using the DFT-TS method requires intensive com- puting resources, including CPU use and computing time. Another focus of this dissertation is to increase the data generating speed by reducing the size of the sim- ulated systems without altering the outcome. A relatively small gold cluster is used to study the binding behaviours of small organic molecules on the cluster. The same strategy is also used to simulate the chemical reactions between various self-catalying silicon precursors and a water molecule. / Graduate / 2021-10-21
12

Spectroscopic ellipsometry for the in-situ investigation of atomic layer depositions

Sharma, Varun 15 May 2014 (has links)
Aim of this student research project was to develop an Aluminium Oxide (Al2O3 ) ALD process from trimethylaluminum (TMA) and Ozone in comparison of two shower head designs. Then studying the detailed characteristics of Al2O3 ALD process using various measurement techniques such as Spectroscopic Ellipsometry (SE), x-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM). The real-time ALD growth was studied by in-situ SE. In-situ SE is very promising technique that allows the time-continuous as well as time-discrete measurement of the actual growth over an ALD process time. The following ALD process parameters were varied and their inter-dependencies were studied in detail: exposure times of precursor and co-reactant as well as Argon purge times, the deposition temperature, total process pressure, flow dynamics of two different shower head designs. The effect of varying these ALD process parameters was studied by looking upon ALD cycle attributes. Various ALD cycle attributes are: TMA molecule adsorption (Mads ), Ligand removal (Lrem ), growth kinetics (KO3 ) and growth per cycle (GPC).:List of abbreviations and Symbols ........................XII Lists of Figures and Tables ...................................XVIII 1 Introduction .......................................................1 I Theoretical Part ..................................................3 2 Alumina in electronic industry ............................5 3 Atomic Layer Deposition ....................................7 3.1 History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8 3.2 Process definition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8 3.3 Benefits and limitations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8 3.4 ALD growth mechanism of Aluminium oxide from TMA/O 3 . . . . . . . . 9 3.5 Growth kinetics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12 3.6 Comparison of TMA/O3 and TMA/H2O – A literature survey . . . . 14 4 Spectroscopic Ellipsometry .....................................................17 4.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 4.2 Measuring Principle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 4.3 Fitting and models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20 4.4 Advantages and limitations . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 5 X-Ray Photoelectron Spectroscopy ..............................................25 5.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26 5.2 XPS mechanism . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26 5.3 XPS analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26 5.4 Advantages and limitations . . . . . . . . . . . . . . . . . . . . . . . . . . . 27 6 Atomic Force Microscopy .............................................................29 II Experimental Part ......................................................................31 7 Methodologies ............................................................................33 7 .1 Experimental setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34 7 .2 ALD process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36 7 .3 Experiment design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36 7 .4 Spectroscopic Ellipsometry . . . . . . . . . . . . . . . . . . . . . . . . . . . 38 7 .4.1 Tool and software . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38 7 .4.2 Data acquisition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38 7 .4.3 Data evaluation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40 7 .4.4 Post processing of data . . . . . . . . . . . . . . . . . . . . . . . . . 41 7 .4.5 Sources of errors in SE . . . . . . . . . . . . . . . . . . . . . . . . . 43 8 Results and discussion ..........................................................47 8.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48 8.2 Kinetic ALD characteristic curves . . . . . . . . . . . . . . . . . . . . . . . . 48 8.2.1 TMA exposure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49 8.2.2 Argon purging after TMA exposure . . . . . . . . . . . . . . . . . . . 50 8.2.3 Ozone exposure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51 8.2.4 Argon purging after ozone exposure . . . . . . . . . . . . . . . . . . 52 8.3 Impact of process parameters on characteristic ALD growth attributes and film properties . . . . . . . . . .. . . . . . . . . . . . . . . . 53 8.3.1 Total process pressure . . . . . . . . . . . . . . . . . . . . . . . . . . 53 8.3.2 Ozone flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54 8.3.3 Deposition temperature . . . . . . . . . . . . . . . . . . . . . . . . . 56 8.4 Reproducibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61 9 Conclusions and outlook .......................................................63 References ...............................................................................68 III Appendix .............................................................................77 A Reference temperatures and ozone flow.............................. 79 B Process parameters ..............................................................81
13

In-situ XPS Investigation of ALD Cu2O and Cu Thin Films after Successive Reduction

Dhakal, Dileep, Waechtler, Thomas, E. Schulz, Stefan, Mothes, Robert, Moeckel, Stefan, Lang, Heinrich, Gessner, Thomas 07 July 2014 (has links)
This talk was presented in the 14th International Conference on Atomic Layer Deposition (ALD 2014) in Kyoto, Japan on 18th June 2014. Abstract Atomic Layer Deposition (ALD) is emerging as a ubiquitous method for the deposition of conformal and homogeneous ultra-thin films on complex topographies and large substrates in microelectronics. Electrochemical deposition (ECD) is the first choice for the deposition of copper (Cu) into the trenches and vias of the interconnect system for ULSI circuits. The ECD of Cu necessitates an electrically conductive seed layer for filling the interconnect structures. ALD is now considered as a solution for conformal deposition of Cu seed layers on very high aspect ratio (AR) structures also for technology nodes below 20 nm, since physical vapor deposition is not applicable for structures with high AR. Cu seed layer deposition by the reduction of Cu2O, which has been deposited from the Cu(I) β-diketonate [(nBu3P)2Cu(acac)] (1) used as Cu precursor, has been successfully carried out on different substrates like Ta, TaN, SiO2, and Ru [1, 2]. It was found that the subsequent gas-phase reduction of the Cu2O films can be aided by introducing catalytic amounts of a Ru precursor into the Cu precursor, so that metallic copper films could potentially obtained also on non-catalytic substrates [3, 4]. In this work, in situ X-ray photoelectron spectroscopy (XPS) investigation of the surface chemistry during Cu2O ALD from the mixture of 99 mol % of 1 and 1 mol % of [Ru(η5 C5H4SiMe3)(η5-C7H11)] (2) as ruthenium precursor, and the reduction of Cu2O to metallic Cu by formic acid carried out on SiO2 substrate are demonstrated. Oxidation states of the Cu in the film are identified by comparing the Cu Auger parameter (α) [5] with literature data. α calculated after ALD equals 362.2 eV and after reduction equals 363.8 eV, comparable to the Cu2O and metallic Cu in thin-films [6] respectively. In addition, <10 % of Cu(I), Cu(II), and Cu(OH)2 species are identified from the Cu 2p3/2 and Cu L3VV Auger spectrum after reduction. Consequently, the ALD Cu2O is successfully reduced to metallic copper by in-situ thermal reduction using formic acid. [1] T. Waechtler et al., J. Electrochem. Soc., 156 (6), H453 (2009). [2] T. Waechtler et al., Microelectron. Eng., 88, 684 (2011). [3] S. Mueller et al., Conference Proceedings SCD 2011, Semiconductor Conference Dresden, pp. 1-4. [4] T. Waechtler et al., US Patent Application Publication, US 2013/0062768. [5] C. D. Wagner, Faraday Discuss. Chem. Soc., 60, 291 (1975). [6] J. P. Espinós et al., J. Phys. Chem. B, 106, 6921 (2002).
14

Vapour Pressure Studies Of Precursors And Atomic Layer Deposition Of Titanium Oxides

Kunte, Girish V 09 1900 (has links)
This thesis describes the deposition of thin films of titanium oxide and Magnéli phases of titanium oxide by atomic layer deposition (ALD) using a novel β-ketoesterate precursor. Titanium oxide is a promising candidate for the high-k dielectric gate oxide layer for CMOS devices in microelectronic circuits. The Magnéli phases of titanium oxide are difficult to grow and stabilize, especially in the thin film form, and have useful properties. The thin film deposition of oxides by CVD/ALD requires suitable precursors, which are often metalorganic complexes. The estimation of vapour pressure using thermogravimetry is described, and employed, using an approach based on the Langmuir equation. This data is important for the evaluation of the suitability of these complexes as CVD precursors. The first chapter gives a brief introduction to the topics that will be discussed in this thesis. Part one of the thesis deals with the synthesis, characterization, and studies of the vapour pressure and partial pressures of the precursors for CVD. This part comprises of the second, third and fourth chapter. The second chapter deals with the synthesis and characterization of the various metalorganic complexes that have been synthesized and characterized to evaluate their suitability as precursors for CVD. The third chapter describes the derivation of vapour pressure of precursors for CVD and ALD, from rising temperature thermogravimetric analysis (TGA) data, using the Langmuir equation. The fourth chapter deals with the determination of partial pressure of CVD precursors using data from low-pressure thermogravimetry. Part Two of the thesis reports the deposition of titanium oxide thin films by ALD, and the detailed investigation of their properties, for application as high-k dielectric materials. Chapters five, six and seven constitute this part. The fifth chapter deals with the deposition of titanium oxide thin films by ALD. Chapter six describes the electrical characterization of the thin films of titanium oxide, for applications as high-k dielectric gate oxide layers for CMOS circuits. In the seventh chapter, the deposition of Magnéli phases of titanium by ALD is described. The dielectric properties of the films are studied.
15

Detailed Study of Copper Oxide ALD on SiO2, TaN, and Ru

Waechtler, Thomas, Schulze, Steffen, Hofmann, Lutz, Hermann, Sascha, Roth, Nina, Schulz, Stefan E., Gessner, Thomas, Lang, Heinrich, Hietschold, Michael 10 August 2009 (has links) (PDF)
Copper films with a thickness in the nanometer range are required as seed layers for the electrochemical Cu deposition to form multilevel interconnects in ultralarge-scale integrated (ULSI) electronic devices. Continuously shrinking device dimensions and increasing aspect ratios of the dual-damascene structures in the copper-based metallization schemes put ever more stringent requirements on the films with respect to their conformality in nanostructures and thickness homogeneity across large wafers. Due to its intrinsic self-limiting film growth characteristic, atomic layer deposition (ALD) appears appropriate for homogeneously coating complex substrates and to replace conventional physical vapor deposition (PVD) methods beyond the 32 nm technology node. To overcome issues of direct Cu ALD, such as film agglomeration at higher temperatures or reduced step coverage in plasma-based processes, an ALD copper oxide film may be grown under mild processing conditions, while a subsequent reduction step converts it to metallic copper. In this poster, which was presented at the AVS 9th International Conference on Atomic Layer Deposition (ALD 2009), held in Monterey, California from 19 to 22 July 2009, we report detailed film growth studies of ALD copper oxide in the self-limiting regime on SiO2, TaN and Ru. Applications in subsequent electrochemical deposition processes are discussed, comparing Cu plating results on as-deposited PVD Ru as well as with PVD and reduced ALD Cu seed layer.
16

Growth Monitoring of Ultrathin Copper and Copper Oxide Films Deposited by Atomic Layer Deposition / Untersuchungen zum Wachstum ultradünner Kupfer- und Kupferoxid Schichten mittels Atomlagenabscheidung

Dhakal, Dileep 25 October 2017 (has links) (PDF)
Atomic layer deposition (ALD) of copper films is getting enormous interest. Ultrathin Cu films are applied as the seed layer for electrochemical deposition (ECD) of copper in interconnect circuits and as the non-magnetic material for the realization of giant magnetoresistance (GMR) sensors. Particularly, Co/Cu multi-layered structures require sub 4.0 nm copper film thickness for obtaining strong GMR effects. The physical vapor deposition process for the deposition of the copper seed layers are prone to non-conformal coating and poor step coverage on side-walls and bottoms of trenches and vias, and presence of overhanging structures. This may cause failure of interconnections due to formation of voids after copper ECD. ALD is the most suitable technology for the deposition of conformal seed layers for the subsequent ECD in very high aspect ratio structures, also for the technology nodes below 20 nm. Surface chemistry during the ALD of oxides is quite well studied. However, surface chemistry during the ALD of pure metal is rather immature. This knowledge is necessary to optimize the process parameters, synthesize better precursors systems, and enhance the knowledge of existing metal ALD processes. The major goal of this work is to understand the surface chemistry of the used precursor and study the growth of ultrathin copper films using in-situ X-ray photoelectron spectroscopy (XPS). Copper films are deposited by ALD using the precursor mixture consisting of 99 mol% [(nBu3P)2Cu(acac)], as copper precursor and 1 mol% of Ru(η5 C7H11)(η5 C5H4SiMe3), as ruthenium precursor. The purpose in having catalytic amount of ruthenium precursor is to obtain the Ru doped Cu2O layers for subsequent reduction with formic acid at temperatures below 150 °C on arbitrary substrates. Two different approaches for the growth of ultrathin copper films have been studied in this dissertation. In the first approach, direct thermal ALD of copper has been studied by using H2 as co-reactant on Co as catalytic substrate. In the second approach, Ru-doped Cu2O is deposited by ALD using wet-O2 as co-reactant on SiO2 as non-catalytic substrate. The Ru-doped Cu2O is successfully reduced by using either formic acid or carbon-monoxide on SiO2. / Atomlagenabscheidung (ALD) von Kupfer steht im Fokus der ALD Gemeinschaft. Ultradünne Kupferschichten können als Keimschicht für die elektrochemische Abscheidung (ECD) von Kupfer in der Verbindungstechnologie eingesetzt werden. Sie können ebenfalls für Sensoren, welche auf den Effekt des Riesenmagnetowiderstandes (GMR) basieren, als nicht-ferromagnetische Zwischenschicht verwendet werden. Insbesondere Multischichtstrukturen aus ferromagnetische Kobalt und Kupfer erfordern Schichtdicken von weniger als 4,0 nm, um einen starken GMR-Effekt zu gewährleisten. Das derzeit verwendete physikalische Dampfabscheidungsverfahren für ultradünne Kupferschichten, ist besonders anfällig für eine nicht-konforme Abscheidung an den Seitenwänden und Böden von Strukturen mit hohem Aspektverhältnis. Des Weiteren kann es zur Bildung von Löchern und überhängenden Strukturen kommen, welche bei der anschließenden Kupfer ECD zu Kontaktlücken (Voids) führen können. Für die Abscheidung einer Kupfer-Keimschicht ist die ALD besonders gut geeignet, da sie es ermöglicht, ultradünne konforme Schichten auf strukturierten Oberflächen mit hohem Aspektverhältnis abzuscheiden. Dies macht sie zu einer der Schlüsseltechnologien für Struckturgrößen unter 20 nm. Im Gegensatz zur Oberflächenchemie rein metallischer ALD sind die Oberflächenreaktionen für oxidische ALD Schichten sehr gut untersucht. Die Kenntnis der Oberflächenchemie während eines ALD Prozesses ist essenziel für die Bestimmung von wichtigen Prozessparametern als auch für die Verbesserung der Präkursorsynthese ansich. Diese Arbeit beschäftigt sich mit der Untersuchung der Oberflächenchemie und Charakterisierung des Wachstums von ultradünnen Metall-Cu-Schichten mittels In-situ XPS, welche eines indirekten (Oxid) bzw. direkten Metall-ALD Prozesses abgeschieden werden, wobei die Kupfer-Oxidschichten im Anschluss einem Reduktionsprozess unterworfen werden. Hierfür wird eine Präkursormischung bestehend aus 99 mol% [(nBu3P)2Cu(acac)] und 1 mol% [Ru(η5 C7H11)(η5-C5H4SiMe3)] verwendet. Die katalytische Menge an Ru, welche in der entstehenden Cu2O Schicht verbleibt, erhöht den Effekt der Reduktion der Cu2O Schicht auf beliebigen Substraten mit Ameinsäure bei Wafertemperaturen unter 150 °C. In einem ersten Schritt wird ein direkter thermisches Kupfer ALD-Prozess, unter Verwendung von molekularem Wasserstoff als Coreaktant, auf einem Kobalt-Substrat untersucht. In einem zweiten Schritt wird ein indirekter thermischer Cu2O-ALD-Prozess, unter gleichzeitiger Verwendung von Sauerstoff und Wasserdampf als Coreaktant, mit anschließender Reduktion durch Ameinsäure oder Kohlenstoffmonoxid zu Kupfer auf den gleichen Substraten betrachtet. Die vorliegende Arbeit beschreibt das Wachstum von ultradünnen und kontinuierlichen Kupfer-Schichten mittels thermischer ALD auf inerten- SiO2 und reaktiven Kobalt-Substraten.
17

Detailed Study of Copper Oxide ALD on SiO2, TaN, and Ru

Waechtler, Thomas, Schulze, Steffen, Hofmann, Lutz, Hermann, Sascha, Roth, Nina, Schulz, Stefan E., Gessner, Thomas, Lang, Heinrich, Hietschold, Michael 10 August 2009 (has links)
Copper films with a thickness in the nanometer range are required as seed layers for the electrochemical Cu deposition to form multilevel interconnects in ultralarge-scale integrated (ULSI) electronic devices. Continuously shrinking device dimensions and increasing aspect ratios of the dual-damascene structures in the copper-based metallization schemes put ever more stringent requirements on the films with respect to their conformality in nanostructures and thickness homogeneity across large wafers. Due to its intrinsic self-limiting film growth characteristic, atomic layer deposition (ALD) appears appropriate for homogeneously coating complex substrates and to replace conventional physical vapor deposition (PVD) methods beyond the 32 nm technology node. To overcome issues of direct Cu ALD, such as film agglomeration at higher temperatures or reduced step coverage in plasma-based processes, an ALD copper oxide film may be grown under mild processing conditions, while a subsequent reduction step converts it to metallic copper. In this poster, which was presented at the AVS 9th International Conference on Atomic Layer Deposition (ALD 2009), held in Monterey, California from 19 to 22 July 2009, we report detailed film growth studies of ALD copper oxide in the self-limiting regime on SiO2, TaN and Ru. Applications in subsequent electrochemical deposition processes are discussed, comparing Cu plating results on as-deposited PVD Ru as well as with PVD and reduced ALD Cu seed layer.
18

Copper Oxide ALD from a Cu(I) <beta>-Diketonate: Detailed Growth Studies on SiO2 and TaN

Waechtler, Thomas, Roth, Nina, Mothes, Robert, Schulze, Steffen, Schulz, Stefan E., Gessner, Thomas, Lang, Heinrich, Hietschold, Michael 03 November 2009 (has links)
The atomic layer deposition (ALD) of copper oxide films from [(<sup>n</sup>Bu<sub>3</sub>P)<sub>2</sub>Cu(acac)] and wet oxygen on SiO<sub>2</sub> and TaN has been studied in detail by spectroscopic ellipsometry and atomic force microscopy. The results suggest island growth on SiO<sub>2</sub>, along with a strong variation of the optical properties of the films in the early stages of the growth and signs of quantum confinement, typical for nanocrystals. In addition, differences both in growth behavior and film properties appear on dry and wet thermal SiO<sub>2</sub>. Electron diffraction together with transmission electron microscopy shows that nanocrystalline Cu<sub>2</sub>O with crystallites < 5 nm is formed, while upon prolonged electron irradiation the films decompose and metallic copper crystallites of approximately 10 nm precipitate. On TaN, the films grow in a linear, layer-by-layer manner, reproducing the initial substrate roughness. Saturated growth obtained at 120&deg;C on TaN as well as dry and wet SiO<sub>2</sub> indicates well-established ALD growth regimes. <br> &copy; 2009 The Electrochemical Society. All rights reserved.
19

ALD-grown seed layers for electrochemical copper deposition integrated with different diffusion barrier systems

Waechtler, Thomas, Ding, Shao-Feng, Hofmann, Lutz, Mothes, Robert, Xie, Qi, Oswald, Steffen, Detavernier, Christophe, Schulz, Stefan E., Qu, Xin-Ping, Lang, Heinrich, Gessner, Thomas January 2011 (has links)
The deposition of Cu seed layers for electrochemical Cu deposition (ECD) via atomic layer deposition (ALD) of copper oxide and subsequent thermal reduction at temperatures between 110 and 120°C was studied on different diffusion barrier systems. While optimization of the process is required on TaN with respect to reduction and plating, promising results were obtained on blanket PVD Ru. The plating results on layers of ALD Cu with underlying Ru even outperformed the ones achieved on PVD Cu seed layers with respect to morphology and resistivity. Applying the processes to via and line patterns gave similar results, suggesting that a combination of ALD Cu with PVD or ALD-grown Ru could significantly improve the ECD Cu growth.
20

Thermal ALD of Cu via Reduction of CuxO films for the Advanced Metallization in Spintronic and ULSI Interconnect Systems

Mueller, Steve, Waechtler, Thomas, Hofmann, Lutz, Tuchscherer, Andre, Mothes, Robert, Gordan, Ovidiu, Lehmann, Daniel, Haidu, Francisc, Ogiewa, Marcel, Gerlich, Lukas, Ding, Shao-Feng, Schulz, Stefan E., Gessner, Thomas, Lang, Heinrich, Zahn, Dietrich R.T., Qu, Xin-Ping January 2011 (has links)
In this work, an approach for copper atomic layer deposition (ALD) via reduction of CuxO films was investigated regarding applications in ULSI interconnects, like Cu seed layers directly grown on diffusion barriers (e. g. TaN) or possible liner materials (e. g. Ru or Ni) as well as non-ferromagnetic spacer layers between ferromagnetic films in GMR sensor elements, like Ni or Co. The thermal CuxO ALD process is based on the Cu (I) β-diketonate precursor [(nBu3P)2Cu(acac)] and a mixture of water vapor and oxygen ("wet O2") as co-reactant at temperatures between 100 and 130 °C. Highly efficient conversions of the CuxO to metallic Cu films are realized by a vapor phase treatment with formic acid (HCOOH), especially on Ru substrates. Electrochemical deposition (ECD) experiments on Cu ALD seed / Ru liner stacks in typical interconnect patterns are showing nearly perfectly filling behavior. For improving the HCOOH reduction on arbitrary substrates, a catalytic amount of Ru was successful introduced into the CuxO films during the ALD with a precursor mixture of the Cu (I) β-diketonate and an organometallic Ru precursor. Furthermore, molecular and atomic hydrogen were studied as promising alternative reducing agents.

Page generated in 0.122 seconds