• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 12
  • 1
  • 1
  • Tagged with
  • 14
  • 14
  • 14
  • 14
  • 12
  • 7
  • 7
  • 7
  • 6
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Organosilane Downstream Plasma On Ultra Low-k Dielectrics: Comparing Repair With Post Etch Treatment

Calvo, Jesús, Steinke, Philipp, Wislicenus, Marcus, Gerlich, Lukas, Seidel, Robert, Clauss, Ellen, Uhlig, Benjamin 22 July 2016 (has links) (PDF)
Plasma induced damage of ultra low-k (ULK) dielectrics is a common phenomenon in BEOL interconnects. The damage leads to an increase in k-value, which raises the RC delay, leading to increased power consumption and cross talk noise. Therefore, diverse repair and post etch treatments (PET) have been proposed to restore or reduce the ULK damage. However, current repair processes are usually based on non-plasma silylation, which suffers from limited chemistry diffusion into the ULK. Moreover, the conventional PET based on anisotropic plasma results in bottom vs. sidewall inhomogeneities of the structures (e.g. via and trench). To reduce these drawbacks, an organosilane downstream -plasma (DSP) was applied. This new application resulted in an increased resistance to ULK removal by fluorinated wet clean chemistries, preserving the ULK hydrophobicity, keeping its carbon content relatively high. The effective RC measured on 28 nm node patterned wafers treated with a DSP PET remains nevertheless comparable to the process of record (POR).
2

Porous Ultra Low-k Material Integration Through An Extended Dual Damascene Approach: Pre-/ Post-CMP Curing Comparison

Calvo, Jesús, Koch, Johannes, Thrun, Xaver, Seidel, Robert, Uhlig, Benjamin 22 July 2016 (has links) (PDF)
Integration of dielectrics with increased porosity is required to reduce the capacitance of interconnects. However, the conventional dual damascene integration approach is causing negative effects to these materials avoiding their immediate implementation. A post-CMP curing approach could solve some of these issues. However, materials with porogens being stable at temperatures of the barrier-seed deposition process are not common, hindering this approach. Here, we report on an extended dual-damascene integration approach which permits post-CMP curing.
3

Low-k SiCxNy Etch-Stop/Diffusion Barrier Films for Back-End Interconnect Applications

Leu, Jihperng, Tu, H.E., Chang, W.Y., Chang, C.Y., Chen, Y.C., Chen, W.C., Zhou, H.Y. 22 July 2016 (has links) (PDF)
Lower k and low-leakage silicon carbonitride (SiCxNy ) films were fabricated using single precursor by using radio-frequency (RF) plasma-enhanced chemical vapor deposition (PECVD). We explored precursors with (1) cyclic-carbon-containing structures, (2) higher C/Si ratio, (3) multiple vinyl groups, as well as (4) the incorporation of porogen for developing low-k SiCxNy films as etch-stop/diffusion barrier (ES/DB) layer for copper interconnects in this study. SiCxNy films with k values between 3.0 and 3.5 were fabricated at T≦ 200 o C, and k~4.0-4.5 at 300-400 °C. Precursors with vinyl groups yielded SiCxNy films with low leakage, excellent optical transmittance and high mechanical strength due to the formation of cross-linked Si-(CH2)n-Si linkages.
4

Electron microscopic studies of low-k inter-metal dielectrics

Singh, Pradeep Kumar 26 September 2014 (has links) (PDF)
Die fortwährende Verkleinerung der Strukturbreiten in der Mikroelektronik erfordert es, herkömmliche SiO2 Dielektrika durch Materialien mit kleinerer Dielektrizitätskonstante zu ersetzen. Dafür sind verschiedene „low-k Materialien“ entwickelt worden. Unter diesen sind die Organosilikatgläser, die aus SiO2 Netzwerken mit eingelagerten Methylgruppen bestehen wegen ihrer ausgezeichneten Eigenschaften besonders interessant als Dielektrika zwischen metallischen Leiterbahnen. In dieser Arbeit sind fünf verschiedene dieser „low-k Materialien“ untersucht worden: ein dichtes und vier poröse Materialien, die alle durch plasmagestützte chemische Gasphasenabscheidung hergestellt wurden. Die strukturellen, chemischen und dielektrischen Eigenschaften der Materialien wurden mit Hilfe der analytischen Durchstrahlungselektronenmikroskopie unter Verwendung eines abbildenden GATAN-Energiespektrometers untersucht. Die Bestimmung der radialen Verteilungsfunktion (RDF) zur Charakterisierung der atomaren Nahordnung ermöglicht uns die Ermittlung mittlerer Bindungslängen und – winkel sowie der mikroskopischen Dichte des Materials. Gegenüber SiO2 wurden in den untersuchten „low-k Materialien“ stark veränderte mittlere Si-O, O-O und Si-Si Bindungslängen gefunden. Dieses wirkt sich natürlich auch auf die mittleren Si-O-Si bzw. O-Si-O Bindungswinkel aus, und wie erwartet war auch die mikroskopische Dichte der „low-k Materialien“ kleiner als die Dichte des SiO2. Elektronen Energieverlustspektroskopie (EELS) und Photoelektronenspektroskopie (XPS) wurden zur Charakterisierung der chemischen Umgebung der Atome in den „low-k Materialien“ herangezogen. Die Energien von Ionisationskanten und die Bindungsenergien der Silizium-2p und Sauerstoff-1s Elektronen waren in den „low-k Materialien“ größer als im SiO2. Die Kohlenstoffatome kamen in den „low-k Materialien“ sowohl sp2 als auch sp3 hybridisiert vor. sp2-Hybridisierung liegt vor, wenn Bindungen wie Si=CH2 und C=C im Netzwerk vorkommen, während sp3 Hybridisierung z.B. dann vorkommt, wenn freie Si-Bindungen durch –CH3 Gruppen abgesättigt werden. Die Anteile an sp2- bzw. sp3-hybridisierten Kohlenstoffatome wurden aus der Feinstruktur der K-Energieverlustkanten des Kohlenstoffs abgeschätzt. Das ergab, daß die meisten Kohlenstoffatome in den „low-k Materialien“ sp2-hybridisiert sind. Die dielektrischen Eigenschaften wurden durch Kramers-Kronig-Transformation einer Energieverlustfunktion ermittelt, die aus dem Niedrigverlust-EELS-Spektrum im Bereich der Plasmonenanregungen gewonnen wurde. Die Bandlücke des SiO2 beträgt ungefähr 9 eV während dichte „low-k Materialien“ aufgrund der Unregelmäßigkeiten in ihrem SiO2-Netzwerk zusätzliche Zustandsdichten innerhalb der Bandlücke aufweisen. Die Erzeugung von Poren im „low-k Material“ vermindert offenbar die Zustandsdichte im Bereich der Bandlücke und erweitert diese im Vergleich zum SiO2. Eine Modellrechnung mit der Dichtefunktionaltheorie für ein Strukturmodell, das den „low-k Materialien“ nahe kommt, ist zum Vergleich mit der experimentell gefundenen kombinierten Zustandsdichte herangezogen worden und zeigt eine gute Übereinstimmung. Die im Standard-Herstellungsprozeß vorkommenden Verfahren des Plasmaätzens und der Plasmaveraschung können die Struktur des „low-k Materials“ z.B. an den Seitenwänden von Ätzgräben verändern. Die gestörten Bereiche wurden mit der energiegefilterten Elektronenmikroskopie untersucht. Dabei wurde gefunden, daß sich die Strukturveränderungen der Seitenwände bis zu einer Tiefe in der Größenordnung von ungefähr 10 Nanometern erstrecken. Diese Bereiche sind verarmt an Kohlenstoff und ähneln folglich mehr einem SiO2-Dielektrikum. Die Kohlenstoffverarmung erstreckt sich in die „low-k Schicht“ in Form eines gaussartigen Profils mit maximaler Kohlenstoffkonzentration in der Mitte der Schicht. Die Sauerstoffkonzentration und die mikroskopische Dichte steigen in der Nähe der Seitenwände.
5

Requirements and challenges on an alternative indirect integration regime of low-k materials

Haase, Micha, Ecke, Ramona, Schulz, Stefan E. 22 July 2016 (has links) (PDF)
An alternative indirect integration regime of porous low-k materials was investigated. Based on a single Damascene structure the intra level dielectric SiO2 or damaged ULK was removed by using HF:H2O solutions to create free standing metal lines. The free spaces between the metal lines were refilled with a spin-on process of a low-k material. The persistence of barrier materials and copper against HF solutions, the gap fill behavior of the used spin on glass on different structure sizes and the main challenges which have to solve in the future are shown in this study.
6

Organosilane Downstream Plasma On Ultra Low-k Dielectrics: Comparing Repair With Post Etch Treatment: Organosilane Downstream Plasma On Ultra Low-k Dielectrics:Comparing Repair With Post Etch Treatment

Calvo, Jesús, Steinke, Philipp, Wislicenus, Marcus, Gerlich, Lukas, Seidel, Robert, Clauss, Ellen, Uhlig, Benjamin 22 July 2016 (has links)
Plasma induced damage of ultra low-k (ULK) dielectrics is a common phenomenon in BEOL interconnects. The damage leads to an increase in k-value, which raises the RC delay, leading to increased power consumption and cross talk noise. Therefore, diverse repair and post etch treatments (PET) have been proposed to restore or reduce the ULK damage. However, current repair processes are usually based on non-plasma silylation, which suffers from limited chemistry diffusion into the ULK. Moreover, the conventional PET based on anisotropic plasma results in bottom vs. sidewall inhomogeneities of the structures (e.g. via and trench). To reduce these drawbacks, an organosilane downstream -plasma (DSP) was applied. This new application resulted in an increased resistance to ULK removal by fluorinated wet clean chemistries, preserving the ULK hydrophobicity, keeping its carbon content relatively high. The effective RC measured on 28 nm node patterned wafers treated with a DSP PET remains nevertheless comparable to the process of record (POR).
7

Porous Ultra Low-k Material Integration Through An Extended Dual Damascene Approach: Pre-/ Post-CMP Curing Comparison

Calvo, Jesús, Koch, Johannes, Thrun, Xaver, Seidel, Robert, Uhlig, Benjamin 22 July 2016 (has links)
Integration of dielectrics with increased porosity is required to reduce the capacitance of interconnects. However, the conventional dual damascene integration approach is causing negative effects to these materials avoiding their immediate implementation. A post-CMP curing approach could solve some of these issues. However, materials with porogens being stable at temperatures of the barrier-seed deposition process are not common, hindering this approach. Here, we report on an extended dual-damascene integration approach which permits post-CMP curing.
8

AMC 2015 – Advanced Metallization Conference

22 July 2016 (has links)
Since its inception as the Tungsten Workshop in 1984, AMC has served as the leading conference for the interconnect and contact metallization communities, and has remained at the leading edge of the development of tungsten, aluminum, and copper/low-K interconnects. As the semiconductor industry evolves, exciting new challenges in metallization are emerging, particularly in the areas of contacts to advanced devices, local interconnect solutions for highly-scaled devices, advanced memory device metallization, and 3D/packaging technology. While the conference content has evolved, the unique workshop environment of AMC fosters open discussion to create opportunities for cross-pollination between academia and industry. Submissions are covering materials, process, integration and reliability challenges spanning a wide range of topics in metallization for interconnect/contact applications, especially in the areas of: - Contacts to advanced devices (FinFET, Nanowire, III/V, and 2D materials) - Highly-scaled local and global interconnects - Beyond Cu interconnect - Novel metallization schemes and advanced dielectrics - Interconnect and device reliability - Advanced memory (NAND/DRAM, 3D NAND, STT and RRAM) - 3D and packaging (monolithic 3D, TSV, EMI) - Novel and emerging interconnects Executive Committee: Sang Hoon Ahn (Samsung Electronics Co., Ltd.) Paul R. Besser (Lam Research) Robert S. Blewer (Blewer Scientific Consultants, LLC) Daniel Edelstein (IBM) John Ekerdt (The University of Texas at Austin) Greg Herdt (Micron) Chris Hobbs (Sematech) Francesca Iacopi (Griffith University) Chia-Hong Jan (Intel Corporation) Rajiv Joshi (IBM) Heinrich Koerner (Infineon Technologies) Mehul Naik (Applied Materials Inc.) Fabrice Nemouchi (CEA LETI MINATEC) Takayuki Ohba (Tokyo Institute of Technology) Noel Russell (TEL Technology Center, America) Stefan E. Schulz (Chemnitz University of Technology) Yosi Shacham-Diamand (Tel-Aviv University) Roey Shaviv (Applied Materials Inc.) Zsolt Tokei (IMEC)
9

AMC 2015 – Advanced Metallization Conference

Schulz, Stefan E. 22 July 2016 (has links)
Since its inception as the Tungsten Workshop in 1984, AMC has served as the leading conference for the interconnect and contact metallization communities, and has remained at the leading edge of the development of tungsten, aluminum, and copper/low-K interconnects. As the semiconductor industry evolves, exciting new challenges in metallization are emerging, particularly in the areas of contacts to advanced devices, local interconnect solutions for highly-scaled devices, advanced memory device metallization, and 3D/packaging technology. While the conference content has evolved, the unique workshop environment of AMC fosters open discussion to create opportunities for cross-pollination between academia and industry. Submissions are covering materials, process, integration and reliability challenges spanning a wide range of topics in metallization for interconnect/contact applications, especially in the areas of: - Contacts to advanced devices (FinFET, Nanowire, III/V, and 2D materials) - Highly-scaled local and global interconnects - Beyond Cu interconnect - Novel metallization schemes and advanced dielectrics - Interconnect and device reliability - Advanced memory (NAND/DRAM, 3D NAND, STT and RRAM) - 3D and packaging (monolithic 3D, TSV, EMI) - Novel and emerging interconnects Executive Committee: Sang Hoon Ahn (Samsung Electronics Co., Ltd.) Paul R. Besser (Lam Research) Robert S. Blewer (Blewer Scientific Consultants, LLC) Daniel Edelstein (IBM) John Ekerdt (The University of Texas at Austin) Greg Herdt (Micron) Chris Hobbs (Sematech) Francesca Iacopi (Griffith University) Chia-Hong Jan (Intel Corporation) Rajiv Joshi (IBM) Heinrich Koerner (Infineon Technologies) Mehul Naik (Applied Materials Inc.) Fabrice Nemouchi (CEA LETI MINATEC) Takayuki Ohba (Tokyo Institute of Technology) Noel Russell (TEL Technology Center, America) Stefan E. Schulz (Chemnitz University of Technology) Yosi Shacham-Diamand (Tel-Aviv University) Roey Shaviv (Applied Materials Inc.) Zsolt Tokei (IMEC)
10

Requirements and challenges on an alternative indirect integration regime of low-k materials

Haase, Micha, Ecke, Ramona, Schulz, Stefan E. 22 July 2016 (has links)
An alternative indirect integration regime of porous low-k materials was investigated. Based on a single Damascene structure the intra level dielectric SiO2 or damaged ULK was removed by using HF:H2O solutions to create free standing metal lines. The free spaces between the metal lines were refilled with a spin-on process of a low-k material. The persistence of barrier materials and copper against HF solutions, the gap fill behavior of the used spin on glass on different structure sizes and the main challenges which have to solve in the future are shown in this study.

Page generated in 0.056 seconds