• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 37
  • 18
  • 9
  • 3
  • 1
  • 1
  • Tagged with
  • 85
  • 85
  • 22
  • 15
  • 14
  • 11
  • 10
  • 9
  • 8
  • 8
  • 7
  • 6
  • 6
  • 6
  • 6
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
71

Miniaturisation des grilles de transistors : Etude de l'intérêt des plasmas pulsés / Analysis of synchronized pulsed plasma for the manufacture of nanostructures

Brihoum, Mélissa 24 October 2013 (has links)
L'industrie de la microélectronique s'appuie sur l'évolution constante de la miniaturisation des transistors. D'ici 2016, cette industrie atteindra le nœud technologique 16 nm dans lequel il faudra être capable de graver des structures de dimensions nanométrique ayant de très forts facteurs d'aspect. Cependant, les procédés de gravure actuels montrent de sérieuses limitations en termes de contrôle des profils et des dimensions critiques lorsqu'il faut graver de telles structures. Les problèmes rencontrés sont liés d'une part à des limitations intrinsèques des procédés plasmas et d'autre part à l'apparition de nouveaux phénomènes lorsque la dimension des structures à graver devient nanométrique. Dans le cadre de cette thèse, un nouveau mode de fonctionnement des sources à plasma est étudié pour développer des procédés de gravure adaptés aux prochaines générations de circuits intégrés : les plasmas modulés en impulsions courtes. Les premiers travaux réalisés s'appuient sur de puissantes techniques d'analyses du plasma (spectroscopie d'absorption VUV, sonde de flux ionique, analyseur électrostatique) dans le but de mettre en évidence l'impact des paramètres de la modulation en impulsion du plasma sur ses caractéristiques physicochimiques (flux et énergie des radicaux et des ions). Ces diagnostics ont tout d'abord permis de définir très clairement les conséquences de la modulation en impulsion du plasma sur les flux de radicaux réactifs qui bombardent le substrat : le rapport de cycle est LE paramètre clé pour contrôler la chimie du plasma car il permet de contrôler le taux de fragmentation du gaz par impact électronique. Dans un second temps, nous avons également démontré que dans les plasmas électronégatifs et pour une puissance RF de polarisation donnée, l'énergie des ions augmente lorsque le rapport de cycle diminue. Fort de ces connaissances fondamentales sur les plasmas, des analyses des surfaces (XPS, MEB, Raman…) ont permis de comprendre les mécanismes mis en jeux lors de l'interaction plasma- surface. Ainsi, il a été possible de développer des procédés de gravure pulsés pour plusieurs étapes de la grille de transistor (prétraitement HBr, gravure du Si-ARC, gravure du pSi). Les prétraitements HBr sont incontournables pour réduire la rugosité de bord de ligne de transistor. Lors de cette étape, une couche riche en carbone limite l'effet bénéfique des UV du plasma sur la diminution de la rugosité. Grâce à l'utilisation des plasmas pulsés, l'origine de cette couche a été mise en évidence : elle résulte du dépôt sur les motifs d'espèces carbonées non volatiles issues de la photolyse de la résine qui sont relâchées dans le plasma. Dans ce système bicouche, les contraintes de la couche carbonée dure vont se relaxer dans le volume mou de la résine par phénomène de « buckling » qui se traduit par une hausse de la rugosité de bord de ligne. Nous avons montré que cela peut être évité en minimisant l'épaisseur de cette couche, ce qui peut être obtenu notamment en pulsant le plasma. La gravure de la couche anti-réflective Si-ARC qui sert de masque dur et celle de la grille en poly Silicium reposent sur l'utilisation de plasmas fluorocarbonés. Mais dans ce type de plasma, la production de précurseurs pour la polymérisation est diminuée quand le plasma est pulsé, conduisant à une perte de sélectivité et d'anisotropie. Les plasmas synchronisés pulsés ne sont donc pas de bons candidats pour les étapes de gravure considérées. Pour pallier à ce problème, un autre mode de polarisation a été étudié : les plasmas pour lesquels seule la puissance de polarisation est pulsée. Dans le cas de la gravure du Si-ARC, il est possible d'obtenir des profils très anisotropes avec une sélectivité vis-à-vis de la résine nettement améliorée. Pour la gravure du Silicium, les effets d'ARDE ont pu être diminués tout en améliorant la sélectivité. Ces résultats sont très encourageants. / Microelectronics industry is based on the continuous transistor downscaling. By the year 2016, the 16nm technological node would be achieved, so that structures with nanometric dimensions and high aspect ratio would have to be etch. However, traditional etching processes shows major limitations in terms of pattern profiles control and critical dimensions when such structures have to be etch. The encountered problems are related directly to intrinsic limitations of plasmas processes but also to the emergence of new phenomena’s when the dimensions of structures to etch become nanometric. In the framework of this thesis, a new strategy to produce plasma has been evaluated to develop etching plasmas processes adapted to next integration circuit generations: the pulsed plasmas. Over a first phase, the impact of plasma pulsing parameters (frequency and duty cycle) on the plasma physico-chemical characteristics has been highlight. This has been achievable thanks to advanced plasma analyse techniques (VUV broad band absorption spectroscopy, ion flux probe, retarding electrical field analyser…) developed to allow time resolved measurements. For the neutral flux, diagnostics have revealed that duty cycle is THE key control knob to tune the plasma. Indeed, a low duty cycle leads to reduced parent gas fragmentation and thus a reduced chemical reactivity. On the other hand, in electronegative plasmas and for constant RF power, we have demonstrated that ion energy is considerably increased when the ions flux is decreased (i.e. when the duty cycle is decreased). Then, surface analyses (XPS, SEM, Raman spectroscopy…) brought out the mechanisms involved during the plasma-surface interaction. Deeper comprehension of impact of pulsing parameters enables to develop pulsed plasmas processes more easily. These works are focused on the top of the transistor gate and deal with the following steps: HBr cure, Si-ARC etching, poly-silicon etching. HBr cure is an essential pre-treatment of the 193 nm photoresist to decrease the Line Width Roughness (LWR) of transistor gate. During this step, a carbon rich layer is formed on the surface of the resist pattern and degrades the beneficial action of UV plasma light on LWR reduction. Thanks to use of pulsed plasmas, the origin of this carbon rich layer has been highlight: UV induced modifications in polymer bulk lead to outgassing of volatiles carbon-based products in the plasma. These carbon containing moieties are fragmented by electron impact dissociation reaction in the plasma, which create sticking carbon based precursors available for re-deposition on the resist patterns. The impact of this layer on the LWR and resist pattern reflow is studied, and a possible mechanical origin (i.e. buckling instabilities) is highlighted. Finally, we showed that the use of pulsed HBr curing plasma allows to reduce and control the thickness of the graphite-like layer and to obtain LWR reduction that are comparable to VUV treatment only. The Si-ARC layer, used as hard mask, and the poly-silicon gate etching are based on the use of fluorocarbon plasmas. However, in these plasmas, the production of radicals enable for the polymerisation is decreased when the duty cycle is reduced. It leads to loss of both anisotropy and selectivity. Synchronised pulsed plasmas are then not adapted to such etching processes. To overcome this problem, a new way to produce plasma has been studied: the ICP source power is maintained constant and only the bias power is pulsed. Regarding Si-ARC etching, very anisotropic profiles are obtained and the Si-ARC to resist selectivity is enhanced while pulsing the rf bias to the wafer. In the case of poly-silicon etching, the ARDE effects are significantly reduced while the selectivity regarding the oxide is improved. These results are very promising for the development of polymerising plasmas processes.
72

Défis liés à la réduction de la rugosité des motifs de résine photosensible 193 nm / Line Width roughness,photoresist 193 nm,CD-AFM,CD-SEM,plasma etching,metrology

Azar-Nouche, Laurent 04 July 2012 (has links)
A chaque nouvelle étape franchie dans la réduction des dimensions des dispositifs en microélectronique, de nouvelles problématiques sont soulevées. Parmi elles, la fluctuation de la longueur de la grille des transistors, aussi appelée rugosité de bord de ligne (LWR, pour “Line Width Roughness”), constitue l'une des principales sources de variabilité. Afin d'assurer le bon fonctionnement des transistors, le LWR doit être inférieur à 2 nm pour les futurs noeuds technologiques. Dans ce contexte, la caractérisation précise de la rugosité à l'échelle nanométrique est essentielle mais se heurte aux limitations des équipements de métrologie. En effet, à ces dimensions, le bruit de mesure des équipements ne peut être ignoré. Afin de pallier à ce problème, un protocole permettant de s'affranchir du niveau de bruit des équipements de métrologie a été développé dans la première partie de cette thèse. Il s'appuie sur l'utilisation de la densité spectrale de puissance de la rugosité, basée sur une fonction d'autocorrélation de type "fractal auto-affine". Un bruit "blanc" a été inclus dans le modèle théorique, permettant l'ajustement des données expérimentales. La seconde problématique concerne la rugosité élevée des motifs des résines 193 nm qui est transférée dans la grille lors des étapes successives de gravure. Pour résoudre cette difficulté, des traitements plasma sur résines ont été envisagés dans la seconde partie de cette étude. Des analyses physico-chimiques des résines exposées aux traitements plasma nous ont permis de montrer que les UV émis par les plasmas lissent considérablement les flancs des résines. En contrepartie, la formation d'une couche "dure" autour des motifs avec certains plasmas (HBr et Ar) contribue à leur dégradation. De nouvelles stratégies ont également été examinées. Les traitements plasma ont été combinés à des recuits thermiques dans le but d'additionner leurs avantages. Finalement, un plasma de H2 semble être prometteur puisqu'il ne génère pas de couche superficielle sur les motifs de résine, et l'action des UV réduit considérablement la rugosité. En combinant ce traitement avec un recuit thermique, il est possible d'atteindre des rugosités de 2.4 nm dans la grille finale. / With the constant decrease of dimensions in microelectronic devices, new problemes are raised. One of them is the variation of the transistor gate length, also called "Line Width Roughness" (LWR), which constitutes one of the most important sources of device variability. Regarding the future technological nodes, the LWR becomes a serious issue and should be reduced down to 2 nm. In this context, the acurate characterization of the LWR at the nanometric scale is essential but faces metrology tool limitations. At this scale, the equipment noise level can not be ignored.In order to compensate for this problem, a protocol allowing to get rid of the metrology equipment noise has been developped. It relies on the use of the discrete power spectral density, based on a "self affine fracal" autocorrelation function type. A "white" noise has been incorporated to the theoretical model, allowing the fitting of experimental data.The second issue concerns the significant LWR of the photoresist patterns printed by 193nm lithography, known to be partially transferred into the gate stack during the subsequent plasma etching steps. In order to solve this difficulty, plasma treatments have been applied to photoresists. Physico-chemical analysis of resists exposed to different plasma allowed us to observe that the UV emitted by the plama significantly smooth the resist sidewalls. On the other hand, the formation of a "stiff" layer around the patterns with some of the used plasma (namely HBr and Ar) leads to a degradation of the sidewall. New strategies have also been examined. Plasma treatments were especially combined to annealing treatments in order to couple their advantages. Finally, the H2 plasma appears as the most promissing for that it does not generate any surface "stiff" layer on the resist patterns and the UV significantly smooth the roughness. Combining this treatment with an annealing, it is possible to reach roughnesses as low as 2.4 nm in the final gate.
73

Micro-concentrateurs de lumière à base de métamatériaux polymères pour la détection infrarouge / Wavelength-scale light concentrators made of polymer metamaterials for infrared applications

Moughames, Johnny 13 July 2016 (has links)
Dans cette thèse, nous développons des micro-concentrateurs de lumière en vue d’applications dans l’infrarouge. Les optiques, plates, de dimensions comparables à la longueur d’onde, sont formées d’une couche micronique de métamatériau, constituée de polymère structuré par des trous d’air. Une focalisation dans la zone de Fresnel des structures est recherchée en réalisant un gradient d’indice, obtenu en variant le diamètre des inclusions d’air (de λ//20 à λ/8). Des simulations électromagnétiques sont d’abord effectuées pour valider un design. La fabrication de ces concentrateurs repose sur la structuration d’une couche de photorésine à l’aide d’une technique de lithographie optique 3D à deux photons. Les mesures obtenues par l’imagerie infrarouge des structures montrent l’obtention d’une focalisation en accord avec les simulations pour un volume de concentrateur de 1,5 λ³, en dépit de l’absorption résiduelle de la résine choisie. Les structures proposées sont invariantes dans la direction axiale et peu épaisses, et peuvent donc être transférées dans d’autres types de matériaux ayant des indices de réfraction plus importants, comme le silicium. Les structures proposées peuvent également servir de plateforme pour réaliser un confinement sub-longueur d’onde par l’ajout d’antennes plasmoniques / In this thesis, we develop flat light concentrators for infrared applications. The structures have dimensions comparable with the wavelength and are made of a metamaterial layer (few microns) made of polymer with air holes inclusions. Light focusing in the Fresnel zone of the structures is achieved by a gradient index profile obained by chirping the holes diameter (from λ//20 to λ/8). Electromagnetic simulations are first performed to validate a design. The fabrication of these concentrators is then made by direct laser writing using a 3D two-photon lithography technique. Infrared imaging of the structures reveals a clear focusing of the infrared light for concentrators volume as small as 1,5 λ³, in agreement with the electromagnetic simulations. Considering that the metamaterial concentrator slabs are invariant in the axial direction and not too thick, similar structures can be transferred in transparent substrates such as silicon using deep reactive ion etching. A subwavelength light confinement can also be exploited by adding plasmonic antenna on the top surface of the flat concentrators
74

Cryogenic Etching of the Electroplating Mold for Improved Zone Plate Lenses

Larsson, Daniel January 2010 (has links)
The fabrication of zone plate lenses that are used for focusing X-rays relies on nanofabrication techniques such as e-beam lithography, reactive ion etching, and electroplating. The circular grating-like zone plate pattern can have a smallest half-period, a so-called zone width, of down to 20 nm while it also needs to have a height that is 5 to 10 times the zone width to have good diffraction efficiency. This high aspect ratio structuring is a very challenging field of nanofabrication. This diploma project has focused on improving the process step of fabricating the electroplating mold by cryo-cooling the polymer during the reactive ion etching with O2. The low temperature causes passivation of the sidewalls of the mold during etching which results in a more ideal rectangular profile of the high aspect ratio plating mold. By etching at -100 °C, structures with highly vertical sidewalls and no undercut were realized. The experiments showed that there is a tradeoff between the anisotropy of the zone profile and the formation rate of polymer residue, so-called RIE grass. Through a proper choice of process parameters the grass could be completely removed without introducing any undercut. / QC 20100414
75

INTEGRATED VACUUM TRANSISTORS AND FIELD EMITTER ARRAYS

Shabnam Ghotbi (14034600) 16 June 2023 (has links)
<p>   The arrival of Si transistors and integrated circuit technology more than half a century ago made vacuum electronic technology almost extinct. Today, there are only a few niche applications for vacuum electronics. The main issues with this technology are its high voltage requirement and high-power consumption, difficult and costly fabrication technology, lack of integration capability, and poor reliability characteristics. Some of these issues may be addressed by going to nm scale fabrication that did not exist 60 years ago. Other problems such as reliability and lack of integration capability require alternative solutions to what has been proposed so far. Vacuum is the ultimate conduction media allowing electrons to reach the speed of light without any scattering. Consequently, a vacuum transistor, if designed correctly, can achieve THz frequency performance, while delivering Watt-level powers. No semiconductor technology can compete with vacuum technology to deliver such performance. </p> <p>In this work, novel methods for implementing nanoscale field emitter arrays used in vacuum electronics are proposed. Gated and ungated field emitters are fabricated with self-assembly technology and electron beam lithography. Different anisotropic dry etching recipes are developed to achieve emitters with different sharpness and aspect ratios. Our methods lead to field emitter array operation under low voltages (less than 20 V) and high current densities (around 50 A/cm2) using self-assembly and soft film anode-cathode isolator, and field emitter devices with ~4.5 A/cm2 current density with a turn-on voltage less than 50 V using electron beam lithography and oxide anode-cathode isolator. </p> <p>Making reliable field emitter devices is challenging. Due to Joule heating, ion bombardment, and geometrical variations for each tip in the field emitter arrays, emission current becomes nonuniform across the array. Sharper tips emit at a higher rate and eventually, the heat generated at the tip deforms the tips leading to electron emission at a lower rate. With ultra-low doped emitters, the current of each tip is limited to a few nano-amperes leading to a negligible current fluctuation at the tips. </p> <p>Our fabricated ultra-low doped devices with both self-assembly and electron beam lithography techniques presented constant emission current with almost no change over 24 hours of continuous operation. Such excellent reliability characteristics in vacuum field emitter devices have not been demonstrated to date.</p> <p>The screening effect in close-packed field emitter arrays which occurs by nearby conductive or semiconductive objects is thoroughly investigated and different solutions are proposed to reduce this effect between the emitters. Simulation studies using Sentaurus TCAD, MATLAB, and COMSOL Multiphysics simulators facilitated the design and optimization of gated and ungated field emitter arrays. These studies included the effect of sharpness, the distance between neighboring emitters, enclosing the emitters by a Si block around the emitters as well as anode-cathode separation on the electrical characterization of field emitter arrays. </p> <p>The optimum location and operating voltages which lead to a maximum gate control and emitter current density are also studied for gated field emitter arrays. Instead of individually gating each field emitter, it was found that controlling the emission of a sub-array with a metallic all-around gate is more efficient and it leads to higher current densities. Guided by simulations, gated field emitter arrays with 5×5 and 2×2 sub-arrays are developed. In terms of strength of the grid control (transconductance), turn-on voltage, maximum emission current, and field intensification factor, the device with the 2×2 sub-array was superior to the one with the 5×5 sub-array. The VFET with 5×5 sub-arrays achieved a higher current density due to a larger number of field emitters packed per active emission area. Finally, plans to further improve the technology and transitioning into the fabrication of vacuum integrated circuits are discussed.</p> <p>  </p>
76

Propriétés optiques et analytiques des nanotrous : vers la conception de biocapteurs en résonance des plasmons de surface localisés

Murray Méthot, Marie-Pier 12 1900 (has links)
Les biocapteurs sont utilisés quotidiennement pour déterminer la présence de molécules biologiques dans une matrice complexe, comme l’urine pour les tests de grossesses ou le sang pour les glucomètres. Les techniques courantes pour la détection des autres maladies nécessitent fréquemment le marquage de l’analyte avec une autre molécule, ce qui est à éviter pour fin de simplicité d’analyse. Ces travaux ont pour but la maximisation de la sensibilité d’une surface d’or ou d’argent nanotrouée, afin de permettre la détection de la liaison de molécules biologiques par résonance des plasmons de surface localisés (LSPR), en utilisant la spectroscopie de transmission. Un biocapteur portable, rapide et sans marquage pour quantifier des analytes d’intérêt médical ou environnemental pourrait être construit à partir de ces travaux. Dans l’objectif d’étudier de nombreuses configurations pour maximiser la sensibilité, le temps et le coût des méthodes de fabrication de nanostructures habituelles auraient limité le nombre de surfaces nanotrouées pouvant être étudiées. Un autre objectif du projet consiste donc au développement d’une technique de fabrication rapide de réseaux de nanotrous, et à moindres coûts, basée sur la lithographie de nanosphères (NSL) et sur la gravure au plasma à l’oxygène (RIE). La sensibilité à la variation d’indice de réfraction associée aux liaisons de molécules sur la surface du métal noble et la longueur d’onde d’excitation du plasmon de surface sont influencées par les caractéristiques des réseaux de nanotrous. Dans les travaux rapportés ici, la nature du métal utilisé, le diamètre ainsi que la périodicité des trous sont variés pour étudier leur influence sur les bandes LSPR du spectre en transmission pour maximiser cette sensibilité, visant la fabrication d’un biocapteur. Les surfaces d’argent, ayant un diamètre de nanotrous inférieur à 200 nm pour une périodicité de 450 nm et les nanotrous d’une périodicité de 650 nm démontre un potentiel de sensibilité supérieur. / Biosensors are used daily to determine the presence of biomolecules in a complex matrix, like urine for pregnancy test or blood with a glucometer. The usual biodetection methods require the addition of a tag on the analyte, which is to be avoided to design a simple analytical method. The objective of this work is to maximize the sensitivity of a gold or silver nanohole arrays to detect the biomolecules liaisons close to the metal surface by localized surface plasmon resonance (LSPR) in transmission spectroscopy. A portable and effective biosensor to quantify analytes could be built based on this work, without a tagging step. To achieve the objective of evaluating numerous configurations for maximal sensitivity, the time and cost of the usual nanostructures fabrication methods would have limited the number of nanohole arrays in metal surface that could have been studied in this project. This fact motivated another objective of this project, the development of a fast and low cost fabrication method for nanohole arrays using nanospheres lithography (NSL) followed by reactive ions etching (RIE). The plasmon sensitivity and wavelength excitation are influenced by the nanohole arrays characteristics. In the work presented here, the chemical composition of the metal surface, the diameter and the periodicity of the nanohole arrays are shown to the influence the LSPR bands. The transmission maximum and minimum position of some LSPR bands are sensitive to refractive index change, which can be exploited in a biosensor format to detect biomolecules. The optimization of these nanohole arrays characteristics allows the maximization of this sensitivity to build a biosensor. The best index refraction sensitive results were with silver surfaces, with nanohole diameters smaller than 200 nm for a periodicity of 450 nm and the nanoholes with a periodicity of 650 nm show a potential for an increased sensitivity.
77

Propriétés optiques et analytiques des nanotrous : vers la conception de biocapteurs en résonance des plasmons de surface localisés

Murray Méthot, Marie-Pier 12 1900 (has links)
Les biocapteurs sont utilisés quotidiennement pour déterminer la présence de molécules biologiques dans une matrice complexe, comme l’urine pour les tests de grossesses ou le sang pour les glucomètres. Les techniques courantes pour la détection des autres maladies nécessitent fréquemment le marquage de l’analyte avec une autre molécule, ce qui est à éviter pour fin de simplicité d’analyse. Ces travaux ont pour but la maximisation de la sensibilité d’une surface d’or ou d’argent nanotrouée, afin de permettre la détection de la liaison de molécules biologiques par résonance des plasmons de surface localisés (LSPR), en utilisant la spectroscopie de transmission. Un biocapteur portable, rapide et sans marquage pour quantifier des analytes d’intérêt médical ou environnemental pourrait être construit à partir de ces travaux. Dans l’objectif d’étudier de nombreuses configurations pour maximiser la sensibilité, le temps et le coût des méthodes de fabrication de nanostructures habituelles auraient limité le nombre de surfaces nanotrouées pouvant être étudiées. Un autre objectif du projet consiste donc au développement d’une technique de fabrication rapide de réseaux de nanotrous, et à moindres coûts, basée sur la lithographie de nanosphères (NSL) et sur la gravure au plasma à l’oxygène (RIE). La sensibilité à la variation d’indice de réfraction associée aux liaisons de molécules sur la surface du métal noble et la longueur d’onde d’excitation du plasmon de surface sont influencées par les caractéristiques des réseaux de nanotrous. Dans les travaux rapportés ici, la nature du métal utilisé, le diamètre ainsi que la périodicité des trous sont variés pour étudier leur influence sur les bandes LSPR du spectre en transmission pour maximiser cette sensibilité, visant la fabrication d’un biocapteur. Les surfaces d’argent, ayant un diamètre de nanotrous inférieur à 200 nm pour une périodicité de 450 nm et les nanotrous d’une périodicité de 650 nm démontre un potentiel de sensibilité supérieur. / Biosensors are used daily to determine the presence of biomolecules in a complex matrix, like urine for pregnancy test or blood with a glucometer. The usual biodetection methods require the addition of a tag on the analyte, which is to be avoided to design a simple analytical method. The objective of this work is to maximize the sensitivity of a gold or silver nanohole arrays to detect the biomolecules liaisons close to the metal surface by localized surface plasmon resonance (LSPR) in transmission spectroscopy. A portable and effective biosensor to quantify analytes could be built based on this work, without a tagging step. To achieve the objective of evaluating numerous configurations for maximal sensitivity, the time and cost of the usual nanostructures fabrication methods would have limited the number of nanohole arrays in metal surface that could have been studied in this project. This fact motivated another objective of this project, the development of a fast and low cost fabrication method for nanohole arrays using nanospheres lithography (NSL) followed by reactive ions etching (RIE). The plasmon sensitivity and wavelength excitation are influenced by the nanohole arrays characteristics. In the work presented here, the chemical composition of the metal surface, the diameter and the periodicity of the nanohole arrays are shown to the influence the LSPR bands. The transmission maximum and minimum position of some LSPR bands are sensitive to refractive index change, which can be exploited in a biosensor format to detect biomolecules. The optimization of these nanohole arrays characteristics allows the maximization of this sensitivity to build a biosensor. The best index refraction sensitive results were with silver surfaces, with nanohole diameters smaller than 200 nm for a periodicity of 450 nm and the nanoholes with a periodicity of 650 nm show a potential for an increased sensitivity.
78

Etude fondamentale des mécanismes physico-chimiques de gravure plasma basés sur les effets stériques et de diffusion. Comportements prévisionnels de la gravure des éléments de la colonne IV et des composés III-V par les halogènes : loi de similitude / Fundamental study of plasma etching physico-chemical mechanisms based on steric effects and diffusion - Forecasted behaviors of the etching of the elements in the group IV and III-V compounds by the halogens : laws of similarity

Phan, Thanh Long 23 October 2013 (has links)
L'objectif de ce travail porte sur la généralisation de la modélisation de la gravure du silicium dans les plasmas de fluor ou de chlore à celle de la gravure des éléments de la colonne IV et des composés III-V de structure cristalline de type diamant ou zinc-blende dans les plasmas d'halogènes, i.e. fluor, chlore, brome et iode. Dans ce contexte, les effets stériques et de diffusion en volume et/ou en surface en constituent les problématiques principales. Cette généralisation s'appuie sur le modèle de gravure de Petit et Pelletier qui, par rapport aux modèles antérieurs, prend en compte un certain nombre d'hypothèses distinctes ou additionnelles telles que les interactions répulsives entre adatomes d'halogènes proches voisins, les mécanismes de Langmuir-Hinshelwood pour la formation des produits de réaction, la nature mono-couche ou multi-couches de l'adsorption, et la diffusion des adatomes en surface. Les effets stériques relatifs à la diffusion des atomes d'halogènes à travers les surfaces (100) des structures cristallines des éléments de la colonne IV et des composés III-V définissent une première loi de similitude entre la maille du réseau cristallin et le rayon ionique de Shannon des atomes d'halogènes concernant leurs conditions de diffusion en volume. Cette loi se traduit par un diagramme prévisionnel, commun aux éléments de la colonne IV et aux composés III-V, délimitant les systèmes de gravure de types mono-couche et multi-couches. Les effets stériques relatifs aux mécanismes réactionnels de gravure sur les surfaces (100) aboutissent à des secondes lois de similitude entre la maille du réseau et le rayon covalent des adatomes d'halogènes caractérisant la nature de la gravure : gravure isotrope, gravure anisotrope, ou absence de gravure. Ces lois de similitude, distinctes pour les éléments de la colonne IV et les composés III-V (stœchiométrie différente des produits de réaction), se traduisent par deux diagrammes prévisionnels délimitant les différents domaines de gravure. Les diagrammes prévisionnels pour les éléments de la colonne IV ont pu être validés, d'une part, à partir des résultats expérimentaux antérieurs, et, d'autre part, en l'absence de données, à partir d'études expérimentales complémentaires : gravure de Si et Ge en plasma de brome et d'iode, gravure de Sn en plasma d'iode. / The objective of this work is the generalization of the modeling of the etching of silicon in fluorine or chlorine plasmas to that of the etching of the elements in column IV and of III-V compounds with diamond-like or zinc-blend crystal structure in halogen plasmas (i.e. fluorine, chlorine, bromine and iodine). In this context, steric effects and volume and/or surface diffusion are the main issues. This generalization is based on the etching model of Petit and Pelletier which, compared to previous models, takes into account a number of separate or additional assumptions such as the repulsive interactions between halogen adatoms in nearest neighbor positions, the Langmuir-Hinshelwood mechanisms for the formation of reaction products, the mono-layer or multi-layer nature of the adsorption, and the diffusion of adatoms on the surface. Steric effects related to the diffusion of halogens through the (100) surfaces of the crystal structures of the elements of column IV and III-V compounds define a first law of similarity between the crystal lattice and the Shannon ionic radius of the halogen atoms concerning their bulk diffusion conditions. This law results in a forecast diagram, common to column IV elements and III-V compounds, delimiting the mono-layer or multi-layer type of the etching systems. Steric effects related to the reaction mechanisms of etching on (100) surfaces lead to the second laws of similarity between the crystal lattice and the covalent radius of halogen adatoms characterizing the etching behavior: isotropic etching, anisotropic etching or no etching. These laws of similarity, distinct between the elements of the column IV and III-V compounds (different stoichiometry of the reaction products), result in two forecast diagrams delimiting the distinct etching domains. Forecast diagrams for column IV elements have been validated, first, from previous experimental results, and, secondly, in the absence of data, from additional experimental studies: etching of Si and Ge in bromine and iodine plasmas, and etching of Sn in iodine plasmas.
79

Technologie leptání křemíku / The silicon etching technology

Krátký, Stanislav January 2012 (has links)
This thesis deals with the silicon etching technology. It Examines using of water solution of potassium hydroxide. It focuses on plasma etching of silicon using mixture of CF4 and O2 as the dry way of etching. Important parameters of etching like etching rate of silicon and masking materials, etching selectivity, surface roughness and underetching of mask are determined for both ways. Some additional processes has been examined as well, namely creating of mask of resist and silicon dioxide, lithography process and etching of resist using oxygen plasma.
80

Analyse des processus de dérive lors de la gravure profonde du silicium dans des plasmas SF6 et C4F8

Fradet, Mathieu 08 1900 (has links)
L’objectif de ce mémoire de maîtrise est de développer des outils de diagnostics non-invasifs et de caractériser in-situ les dérives de procédé dans un réacteur industriel utilisé en production pour la gravure profonde du silicium par le procédé Bosch. Ce dernier repose sur l’alternance d’un plasma de SF6 pour la gravure isotrope du Si et d’un plasma de C4F8 pour la passivation des parois dans l’optique d’obtenir des tranchées profondes et étroites. Dans un premier temps, nous avons installé une sonde courant-tension sur la ligne de transmission du signal rf au porte-substrat pour l’étude de son impédance caractéristique et un spectromètre optique pour l’étude de l’émission optique du plasma. Nos travaux ont montré que l’évolution temporelle de l’impédance constitue un excellent moyen pour identifier des changements dans la dynamique du procédé, notamment une gravure complète de la photorésine. De plus, à partir des spectres d’émission, nous avons pu montrer que des produits carbonés sont libérés du substrat et des parois lors de l’alternance passivation/gravure et que ceux-ci modifient considérablement la concentration de fluor atomique dans le plasma. Dans un second temps, nous avons développé un réacteur à « substrat-tournant » pour l’analyse in-situ des interactions plasma-parois dans le procédé Bosch. Nos travaux sur ce réacteur visaient à caractériser par spectrométrie de masse l’évolution temporelle des populations de neutres réactifs et d’ions positifs. Dans les conditions opératoires étudiées, le SF6 se dissocie à près de 45% alors que le degré de dissociation du C4F8 atteint 70%. Le SF6 est avant tout dissocié en F et SF3 et l’ion dominant est le SF3+ alors que le C4F8 est fragmenté en CF, CF3 et CF4 et nous mesurons plusieurs ions significatifs. Dans les deux cas, la chaîne de dissociation demeure loin d’être complète. Nous avons noté une désorption importante des parois de CF4 lors du passage du cycle de passivation au cycle de gravure. Un modèle d’interactions plasmas-parois est proposé pour expliquer cette observation. / The purpose of this master thesis is to develop non-invasive diagnostic tools for in-situ characterization of process drifts in an industrial reactor used in production for deep silicon etching by the Bosch process. This process alternates between a SF6 plasma for isotropic Si etching and a C4F8 plasma for sidewall passivation to achieve deep and narrow trenches. In this context, a current-voltage probe was installed on the rf transmission line to the substrate holder for impedance studies and an optical spectrometer for plasma optical emission spectroscopy. We have shown that the time evolution of the impedance represents an excellent tool for monitoring changes in the process dynamics, including the complete removal of the photoresist due to process drifts. In addition, based on emission spectroscopy, we have demonstrated that carbon products are released from the substrate and reactor walls during etching. A « spinning-wall » reactor was also developed for in-situ analysis of plasma-wall interactions. The main objective of our work on this reactor was to characterize the time evolution of the population of reactive neutrals and positive ions by plasma sampling mass spectrometry. Over the range of experimental conditions investigated, the percent dissociation of SF6 was 45%, while the one of C4F8 was 70%. SF6 was mostly dissociated in F and SF3, with SF3+ as the dominant ion. C4F8 is essentially fragmented in CF, CF3 and CF4 with many significant ions. In both cases, the dissociation chain remained incomplete. An important desorption of CF4 from the reactor walls was observed when going from passivation to etching cycles. A plasma-wall interaction model was proposed to explain such observation.

Page generated in 0.0789 seconds